Part Number Hot Search : 
32208498 CMX469 NCV4264 GBC20 TIC116 77E58 05583 21C10
Product Description
Full Text Search
 

To Download EP1AGX35CF1152I6N Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  altera corporation section i?1 section i. arria gx device data sheet this section provides designers with the data sheet specifications for arria? gx devices. they contain feat ure definitions of the transceivers, internal architecture, configuratio n, and jtag boundary-scan testing information, dc operating conditions, ac timing parameters, a reference to power consumption, an d ordering information for arria gx devices. this section includes the following chapters: chapter 1, arria gx device family overview chapter 2, arria gx architecture chapter 3, configuration and testing chapter 4, dc and switching characteristics chapter 5, reference and ordering information revision history refer to each chapter for its own specific revision history. for information on when each chapter was updated, refer to the chapter revision dates section, which appears in the full handbook.
section i?2 altera corporation arria gx device data sheet arria gx device handbook, volume 1
altera corporation 1?1 may 2008 1. arria gx device family overview introduction the arria tm gx family of devices combines 3.125 gigabits per second (gbps) serial transceivers with reliable packaging technology and a proven logic array. arria gx devices include 4 to 12 high-speed transceiver channels, each incorpor ating clock/data recovery (cdr) technology and embedded serdes circuitry designed to support pci-express, gigabit ethernet, sdi, seriallite ii, xaui, and serial rapidio protocols, along with the ability to develop proprietary, serial-based ip using its basic mode . the transceivers build upon the success of stratix ? ii gx family. the arria gx fpga technology offers a 1.2-v logic array with the right leve l of performance and dependability needed to support thes e mainstream protocols. features the key device features for the arria gx include: transceiver block features high-speed serial transceive r channels with clock/data recovery support up to 3.125 gbps. devices available with 4, 8, or 12 high-speed full-duplex serial transceiver channels support for the following cdr-based bus standards ? pci express, gigabit ethernet, sdi, seriallite ii, xa ui, and serial rapidio, along with the ability to develop proprietary, serial-based ip using its basic mode individual transmitter and receiver channel power-down capability for reduced power consumption during non-operation 1.2- and 1.5-v pseudo current mode logic (pcml) support on transmitter output buffers receiver indicator for loss of signal (available only in pci express (pipe) mode) hot socketing feature for hot plug-in or hot swap and power sequencing support without th e use of external devices dedicated circuitry that is co mpliant with pipe, xaui, gige, sdi, and serial rapidio 8b/10b encoder/decoder performs 8-bit to 10-bit encoding and 10-bit to 8-bit decoding phase compensation fifo buff er performs clock domain translation between the transcei ver block and the logic array channel aligner compliant with xaui agx51001-1.2
1?2 altera corporation arria gx device handbook, volume 1 may 2008 arria gx device family overview main device features: trimatrix memory consisting of three ram block sizes to implement true dual-port memory and first-in first-out (fifo) buffers with performance up to 380 mhz up to 16 global clock networks with up to 32 regional clock networks per device high-speed dsp blocks provide dedicated implementation of multipliers, multiply-accumulate functions, and finite impulse response (fir) filters up to four enhanced plls per de vice provide spread spectrum, programmable bandwidth, clock switch-over, and advanced multiplication and phase shifting support for numerous single-ended and differential i/o standards high-speed source-synchronous differential i/o support on up to 47 channels support for source-synchronous bus standards, including spi-4 phase 2 (pos-phy level 4), sfi- 4.1, xsbi, utopia iv, npsi, and csix-l1 support for high-speed external memory including double data rate (ddr and ddr2) sdram, and single data rate (sdr) sdram support for multiple intellectual property megafunctions from altera ? megacore ? functions and altera megafunction partners program (ampp sm ) support for remote configuration updates table 1?1 lists arria gx device features for fineline bga (fbga) with flip-chip packages. table 1?1. arria gx device features (part 1 of 2) feature ep1agx20c ep1agx35c/d ep1agx50c /d ep1agx60c/d/e ep1agx90e ccdcdcdee package 484-pin, 780-pin (flip- chip) 484-pin (flip- chip) 780-pin (flip- chip) 484-pin (flip- chip) 780-pin, 1152-pin (flip- chip) 484- pin (flip- chip) 780- pin (flip- chip) 1152- pin (flip- chip) 1152-pin (flip-chip) alms 8,632 13,408 20,064 24,040 36,088 equivalent les 21,580 33,520 50,160 60,100 90,220 transceiver channels 44848481212 transceiver data rate 600 mbps to 3.125 gbps 600 mbps to 3.125 gbps 600 mbps to 3.125 gbps 600 mbps to 3.125 gbps 600 mbps to 3.125 gbps
altera corporation 1?3 may 2008 arria gx device handbook, volume 1 features arria gx devices are avai lable in space-saving fbga packages (refer to table 1?2 ). all arria gx devices support vertical migration within the same package. with vertical migrat ion support, designers can migrate to devices whose dedicated pins, configur ation pins, and power pins are the same for a given package across device densities. for i/o pin migration source- synchronous receive channels 31 31 31 31 31, 42 31 31 42 47 source- synchronous transmit channels 29 29 29 29 29, 42 29 29 42 45 m512 ram blocks (32 18 bits) 166 197 313 326 478 m4k ram blocks (128 36 bits) 118 140 242 252 400 m-ram blocks (4096 144 bits) 11 2 2 4 to t a l r a m bits 1,229,184 1,348,416 2,475,072 2,528,640 4,477,824 embedded multipliers (18 18) 40 56 104 128 176 dsp blocks 10 14 26 32 44 plls 4 4 4 4, 8 4 8 8 maximum user i/o pins 230, 341 230 341 229 350, 514 229 350 514 538 table 1?1. arria gx device features (part 2 of 2) feature ep1agx20c ep1agx35c/d ep1agx50c /d ep1agx60c/d/e ep1agx90e ccdcdcdee
1?4 altera corporation arria gx device handbook, volume 1 may 2008 arria gx device family overview across densities, the designer must cross-reference the available i/o pins using the device pin-outs for all pla nned densities of a given package type to identify which i/o pins are migratable. table 1?3 lists the arria gx device package sizes. table 1?2. arria gx package options (pin counts and transceiver channels) device transceiver channels source-synchronous channels maximum user i/o pin count receive transmit 484-pin fbga (23 mm) 780-pin fbga (29 mm) 1152-pin fbga (35 mm) ep1agx20c 4 31 29 230 341 ? ep1agx35c 4 31 29 230 ? ? ep1agx50c 4 31 29 229 ? ? ep1agx60c 4 31 29 229 ? ? ep1agx35d 8 31 29 ? 341 ? ep1agx50d 8 31, 42 29, 42 ? 350 514 ep1agx60d 8 31 29 ? 350 ? ep1agx60e 12 42 42 ? ? 514 ep1agx90e 12 47 45 ? ? 538 table 1?3. arria gx fbga package sizes dimension 484 pins 780 pins 1152 pins pitch (mm) 1.00 1.00 1.00 area (mm 2 ) 529 841 1225 length width (mm mm) 23 23 29 29 35 35
altera corporation 1?5 may 2008 arria gx device handbook, volume 1 document revision history document revision history table 1?4 shows the revision history for this chapter. table 1?4. document revision history date and document version changes made summary of changes may 2008, v1.2 included support for sdi, seriallite ii, and xaui. ? june 2007, v1.1 included gige information. ? may 2007, v1.0 initial release ?
1?6 altera corporation arria gx device handbook, volume 1 may 2008 arria gx device family overview
altera corporation 2?1 may 2008 2. arria gx architecture transceivers arria? gx devices incorporate up to 12 high-speed serial transceiver channels that build on th e success of the stratix ? ii gx device family. arria gx transceivers are structured into full-duplex (transmitter and receiver) four-channel groups called transceiver blocks located on the right side of the device. the transce iver blocks can be configured to support the following se rial connectivity protocols (functional modes): pci express (pipe) gigabit ethernet (gige) xaui basic (600 mbps to 3.125 gbps) sdi (hd, 3g) serial rapidio (1.25 gbps, 2.5 gbps, 3.125 gbps) transceivers within each block are in dependent and have their own set of dividers. therefore, each transceiver can operate at different frequencies. each block can select from two refe rence clocks to provide two clock domains that each transceiver can select from. table 2?1 shows the number of transceive r channels for each member of the arria gx family. table 2?1. arria gx transceiver channels device number of transceiver channels ep1agx20c 4 ep1agx35c 4 ep1agx35d 8 ep1agx50c 4 ep1agx50d 8 ep1agx60c 4 ep1agx60d 8 ep1agx60e 12 ep1agx90e 12 agx51002-1.3
2?2 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?1 shows a high-level diagra m of the transceiver block architecture divided into four channels. figure 2?1. transceiver block each transceiver block has: four transceiver channels with de dicated physical coding sublayer (pcs) and physical media a ttachment (pma) circuitry one transmitter pll that takes in a reference clock and generates high-speed serial clock depending on the functional mode four receiver plls and clock recovery unit (cru) to recover clock and data from the received serial data stream state machines and other logic to implement special features required to support each protocol channel 1 channel 0 channel 2 supporting blocks (plls, state machines, programming) channel 3 rx1 tx1 rx0 tx0 rx2 tx2 rx3 tx3 refclk_1 refclk_0 transceiver block arria gx logic array
altera corporation 2?3 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?2 shows functional blocks that make up a transceiver channel. figure 2?2. arria gx transceiver channel block diagram notes to figure 2?2 : (1) ?n? represents the number of bits in each word that must be serialized by the transmitter portion of the pma. n = 8 or 10. (2) ?m? represents the number of bits in the word that passes between the fpga logic and the pcs portion of the transceiver. m = 8, 10, 16, or 20. each transceiver channel is full-duplex and consists of a transmitter channel and a receiver channel. the transmitter channel contai ns the following sub-blocks: transmitter phase compensation fi rst-in first-out (fifo) buffer byte serializer (optional) 8b/10b encoder (optional) serializer (parallel-to-serial converter) transmitter differential output buffer the receiver channel contains the following: receiver differential input buffer receiver lock detector and run length checker clock recovery unit (cru) deserializer pattern detector word aligner lane deskew rate matcher (optional) 8b/10b decoder (optional) byte deserializer (optional) receiver phase compensation fifo buffer deserializer serializer word aligner 8b/10b decoder xaui lane deskew byte deserializer 8b/10b encoder phase compensation fifo buffer reference clock reference clock byte serializer phase compensation fifo buffer rate matcher pcs digital section fpga fabric pma analog section receiver pll transmitter pll clock recovery unit m n n m (1) (2) (2) (1)
2?4 altera corporation arria gx device handbook, volume 1 may 2008 transceivers you can configure the transceiver ch annels to the desired functional modes usingthe alt2gxb megacore instance in the quartus ? ii megawizard ? plug-in manager for the arria gx device family. depending on the selected function al mode, the quartus ii software automatically configures the transcei ver channels to employ a subset of the sub-blocks listed above. transmitter path this section describes the data path through the arria gx transmitter. the sub-blocks are described in order from the pld-transmitter parallel interface to the serial transmitter buffer. clock multiplier unit each transceiver block has a clock mul tiplier unit (cmu) that takes in a reference clock and synthesizes two cl ocks: a high-speed serial clock to serialize the data and a low-speed pa rallel clock to clock the transmitter digital logic (pcs). the cmu is further divide d into three sub-blocks: one transmitter pll one central clock divider block four local clock divider blocks (one per channel)
altera corporation 2?5 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?3 shows the block diagram of the clock multiplier unit. figure 2?3. clock multiplier unit the transmitter pll multiplies the inpu t reference clock to generate the high-speed serial clock required to support the intended protocol. it implements a half-rate voltage controlled oscillator (vco) that generates a clock at half the frequency of the serial data rate for which it is configured. tx clock gen block tx clock gen block cmu block reference clock from refclks, global clock (1), inter-transceiver lines transmitter channels [3:2] transmitter pll transmitter channels [1:0] local clock divider block central clock divider block local clock divider block transmitter high-speed serial and low-speed parallel clocks transmitter high-speed serial and low-speed parallel clocks
2?6 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?4 shows the block diagram of the transmitter pll. figure 2?4. transmitter pll notes to figure 2?4 : (1) you only need to select the protocol and the availabl e input reference clock frequency in the altgxb megawizard plug-in manager. based on your sele ctions, the megawizard plug-in manager automatically selects the necessary /m and /l dividers (clock multiplication factors). (2) the global clock line must be driven from an input pin only. the reference clock input to the tr ansmitter pll can be derived from: one of two available dedicated reference clock input pins ( refclk0 or refclk1 ) of the associated transceiver block pld global clock network (must be driven directly from an input clock pin and cannot be driven by user logic or enhanced pll) inter-transceiver block lines driven by reference clock input pins of other transcei ver blocks 1 altera ? recommends using the dedicated reference clock input pins ( refclk0 or refclk1 ) to provide reference clock for the transmitter pll. table 2?2 lists the adjustable parameters in the transmitter pll. phase frequency detector charge pump + loop filter up voltage controlled oscillator /m (1) inclk /l (1) transmitter pll dedicated refclk0 /2 dedicated refclk1 /2 inter-transceiver lines[2:0] global clock (2) high speed serial clock to inter-transceiver lines down table 2?2. transmitter pll specifications parameter specifications input reference frequency range 50 mhz to 622.08 mhz data rate support 600 mbps to 3.125 gbps bandwidth low, medium, or high
altera corporation 2?7 may 2008 arria gx device handbook, volume 1 arria gx architecture the transmitter pll output feeds the central clock divider block and the local clock divider blocks. these clock divider blocks divide the high-speed serial clock to generate the low-speed parallel clock for the transceiver pcs logic and pld-transceiver interface clock. transmitter phase compensation fifo buffer a transmitter phase compensation fifo is located at each transmitter channel?s logic array interface. it compensates for the phase difference between the transmitter pcs cloc k and the local pld clock. the transmitter phase compensation fifo is used in all supported functional modes. the transmitter phase compensa tion fifo buffer is eight words deep in pci express (pipe) mode and four words deep in all other modes. f for more details about architec ture and clocking, refer to the arria gx transceiver architecture chapter in volume 2 of the arria gx device handbook . byte serializer the byte serializer takes in two-byte wide data from the transmitter phase compensation fifo buffer and serializ es it into a one-byte wide data at twice the speed. the transmit data path after the byte serializer is 8 or 10 bits. this allows clocking the pld-tr ansceiver interface at half the speed as compared to the transmitter pcs logi c. the byte serializer is bypassed in gige mode. after serialization, th e byte serializer transmits the least significant byte (lsbyte) first and the most significant byte (msbyte) last. figure 2?5 shows byte serializer input and output. datain[15:0] is the input to the byte serializer from the transmitter phase compensation fifo; dataout[7:0] is the output of the byte serializer. figure 2?5. byte seri alizer operation note (1) note to figure 2?5 : (1) datain may be 16 or 20 bits. dataout may be 8 or 10 bits. xxxxxxxxxx xxxxxxxxxx 8'h01 {8'h00,8'h01} datain[15:0] dataout[7:0] 8'h00 8'h03 8'h02 d1 d2 d3 d1 lsbyte d1 msbyte d2 lsbyte d2 msbyte {8'h02,8'h03} xxxx
2?8 altera corporation arria gx device handbook, volume 1 may 2008 transceivers 8b/10b encoder the 8b/10b encoder block is used in all supported functi onal modes. the 8b/10b encoder block takes in 8-bit da ta from the byte serializer or the transmitter phase compensation fifo buffer. it generates a 10-bit code group with proper running disparity from the 8-bit character and a 1-bit control identifier ( tx_ctrlenable ). when tx_ctrlenable is low, the 8-bit character is encoded as data code group ( dx.y ). when tx_ctrlenable is high, the 8-bit character is encoded as a control code group ( kx.y ). the 10-bit code group is fed to the serializer. the 8b/10b encoder conforms to the ieee 802.3 1998 edition standard. figure 2?6 shows the 8b/10b conversion format. f for additional information regarding 8b/10b encoding rules, refer to the specifications and addi tional information chapter in volume 2 of the arria gx device handbook . figure 2?6. 8b/10b encoder during reset ( tx_digitalreset ), the running disparity and data registers are cleared and the 8b/10b encoder outputs a k28.5 pattern from the rd- column continuously. once out of reset, the 8b/10b encoder starts with a negative disparity (r d-) and transmits three k28.5 code groups for synchronizing before it starts encoding the input data or control character. transmit state machine the transmit state machine operates in either pci express (pipe) mode, xaui mode, or gige mode, de pending on the protocol used. 9876543210 8b-10b conversion 76543210 hgfed cb a ctrl jhgfiedcba msb lsb
altera corporation 2?9 may 2008 arria gx device handbook, volume 1 arria gx architecture gige mode in gige mode, the transmit state mach ine converts all idle ordered sets (/k28.5/, /dx.y/) to either /i1/ or /i2/ ordered sets. the /i1/ set consists of a negative-ending disp arity /k28.5/ (denoted by /k28.5/-), followed by a neutral /d5.6/. the /i2/ set consists of a positive-ending disparity /k28.5/ (denoted by /k 28.5/+) and a negative-ending disparity /d16.2/ (denoted by /d16.2/ -). the transmit state machines do not convert any of the ordered sets to match /c1/ or /c2/, which are the configuration ordered sets. (/c1/ and /c2/ are defined by [/k28.5/, /d21.5/] and [/k28.5/, /d2.2/], resp ectively). both the /i1/ and /i2/ ordered sets guarantee a negative-ending disparity after each ordered set. xaui mode the transmit state machine translates the xaui xgmii code group to the xaui pcs code group. table 2?3 shows the code conversion. the xaui pcs idle code groups, /k2 8.0/ (/r/) and /k28.5/ (/k/), are automatically randomized based on a prbs7 pattern with an 7 + 6 + 1 polynomial. the /k28.3/ (/a/) code group is automatically generated between 16 and 31 idle code groups. th e idle randomization on the /a/, /k/, and /r/ code groups is done automatically by the transmit state machine. table 2?3. on-chip termination support by i/o banks xgmii txc xgmii txd pcs code-group description 0 00 through ff dxx.y normal data 1 07 k28.0 or k28.3 or k28.5 idle in ||i|| 1 07 k28.5 idle in ||t|| 1 9c k28.4 sequence 1 fb k27.7 start 1 fd k29.7 terminate 1 fe k30.7 error 1 see ieee 802.3 reserved code groups see ieee 802.3 reserved code groups reserved code groups 1 other value k30.7 invalid xgmii character
2?10 altera corporation arria gx device handbook, volume 1 may 2008 transceivers serializer (parallel-to-serial converter) the serializer block clocks in 8- or 10-bit encoded data from the 8b/10b encoder using the low-speed parallel clock and clocks out serial data using the high-speed serial clock from the central or local clock divider blocks. the serializer feeds the data lsb to msb to the transmitter output buffer. figure 2?7 shows the serializer block diagram. figure 2?7. serializer transmitter buffer the arria gx transceiver buffers support the 1.2- and 1.5-v pcml i/o standard at rates up to 3.125 gbps. the common mode voltage (v cm ) of the output driver may be set to 600 or 700 mv. f refer to the arria gx transceiver architecture chapter in volume 2 of the arria gx device handbook . the output buffer, as shown in figure 2?8 , is directly driven by the high-speed data serializer and consis ts of a programmable output driver, a programmable pre-emphasis circuit, and oct circuitry. d9 d8 d7 d6 d5 d4 d3 d2 d1 d0 10 d9 d8 d7 d6 d5 d4 d3 d2 d1 d0 to transmitter output buffer cmu central / local clock divider low-speed parallel clock high-speed serial clock from 8b/10b encoder
altera corporation 2?11 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?8. output buffer programmable output driver the programmable output driver can be set to drive out differentially 400 to 1200 mv. the differential output voltage (v od ) can be statically set by using the altgxb megafunction. the output driver may be configured using 100 on-chip termination or external termination. differential signaling conventions are shown in figure 2?9 . the differential amplitude represents the value of the voltage between the true and complement signals. peak-to- peak differential voltage is defined as 2 (v high ? v low ) = 2 single-ended voltage swing. the common mode voltage is the average of v high and v low . serializer programmable pre-emphasis output buffer output pins programmable output driver
2?12 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?9. differential signaling programmable pre-emphasis the programmable pre-emphasis module controls the output driver to boost high frequency components an d compensate for losses in the transmission medium, as shown in figure 2?10 . pre-emphasis is set statically using the altgxb megafunction. figure 2?10. pre-emphasis signaling pre-emphasis percentage is defined as (v max /v min ? 1) 100, where v max is the differential emphasized voltage (peak-to-peak) and v min is the differential steady-state voltage (peak-to-peak). single-ended waveform differential waveform +v od +v od -v od 2 * v od 0-v differential +400 ? 400 - v od (differential) = v high v low v low v high complement tr u e ? v max v max v min v min pre-emphasis % = ( ? 1) 100
altera corporation 2?13 may 2008 arria gx device handbook, volume 1 arria gx architecture pci express (pipe) receiver detect the arria gx transmitter buffer has a built-in receiver detection circuit for use in pci express (pipe) mode. this circuit provides the ability to detect if there is a receiver downstream by sending out a pulse on the channel and monitoring the reflection. this mode requires a tri-stated transmitter buffer (in electrical idle mode). pci express (pipe) electric idles (or individual transmitter tri-state) the arria gx transmitter buffer supp orts pci express (pipe) electrical idles. this feature is only active in pci express (pipe) mode. the tx_forceelecidle port puts the transmitter buffer in electrical idle mode. this port is available in all pci express (pipe) power-down modes and has specific usage in each mode. receiver path this section describes the data path through the arria gx receiver. the sub-blocks are described in order from the receiver buffer to the pld-receiver parallel interface. receiver buffer the arria gx receiver input buffer supports the 1.2 v and 1.5 v pcml i/o standard at rates up to 3.125 gbps. the common mode voltage of the receiver input buffer is programm able between 0.85 v and 1.2 v. you must select the 0.85 v common mode voltage for ac- and dc-coupled pcml links and 1.2 v common mode voltage for dc-coupled lvds links. the receiver has on-chip 100 differential termination for different protocols, as shown in figure 2?11 . the receiver?s internal termination can be disabled if external terminations and biasing are provided. the receiver and transmitter differentia l termination method can be set independently of each other. figure 2?11. receiver input buffer 100 termination input pins differential input buffer programmable equalizer
2?14 altera corporation arria gx device handbook, volume 1 may 2008 transceivers if a design uses external termination, the receiver must be externally terminated and biased to 0.85 v or 1.2 v. figure 2?12 shows an example of an external terminat ion and biasing circuit. figure 2?12. external termi nation and bias ing circuit programmable equalizer the arria gx receivers provide a programmable receiver equalization feature to compensate for the effects of channel attenuation for high- speed signaling. pcb traces carrying these high-speed signals have low- pass filter characteristics. impeda nce mismatch boundaries can also cause signal degradation. equalization in the receiver diminishes the lossy attenuation effects of the pcb at high frequencies. the receiver equalization circuit is comprised of a programmable amplifier. each stage is a peaking equalizer with a different center frequency and programmable gain. this allows varying amounts of gain to be applied, depending on the over all frequency response of the channel loss. channel loss is defined as the summation of all losses through the pcb traces, vias, connectors, and cables present in the physical link. the quartus ii software allows five equa lization settings for arria gx devices. receiver pll and clock recovery unit (cru) each transceiver block has four receiver plls and cru units, each of which is dedicated to a receiver channel. the receiver pll is fed by an input reference clock. the receiver pll, in conjunction with the cru, transmission line c1 r1/r2 = 1k v dd {r2/(r1 + r 2)} = 0.85/1.2 v 50- termination resistance r1 r2 v dd receiver external termination and biasing arria gx device receiver external termination and biasing rxip rxin receiver
altera corporation 2?15 may 2008 arria gx device handbook, volume 1 arria gx architecture generates two clocks: a high-speed serial recovered clock that clocks the deserializer and a low-speed parallel recovered clock that clocks the receiver's digital logic. figure 2?13 shows a block diagram of the receiver pll and cru circuits. figure 2?13. receiver pll and clock recovery unit notes to figure 2?13 : (1) you only need to select the protocol and the availabl e input reference clock frequency in the altgxb megawizard plug-in manager. based on your selections, the altgxb megawizard plug-in manager automatically selects the necessary /m and /l dividers. (2) the global clock line must be driven from an input pin only. the reference clock input to the receiver pll can be derived from: one of the two available dedica ted reference clock input pins ( refclk0 or refclk1 ) of the associated transceiver block pld global clock network (must be driven directly from an input clock pin and cannot be driven by user logic or enhanced pll) inter-transceiver block lines driven by reference clock input pins of other transceiver blocks all the parameters listed are progra mmable in the quartus ii software. the receiver pll has the following features: operates from 600 mbps to 3.125 gbps. uses a reference clock between 50 mhz and 622.08 mhz. programmable bandwidth settings: low, medium, and high. programmable rx_locktorefclk (forces the receiver pll to lock to reference clock) and rx_locktodata (forces the receiver pll to lock to data). the voltage-controlled oscillator (v co ) operates at half rate. programmable frequency multiplication w of 1, 4, 5, 8, 10, 16, 20, and 25. not all settings are supported for any particular frequency. two lock indication signals are provided. they are found in pfd mode (lock-to-reference cl ock), and pd (lock-to-data). pfd cp+lf up dn vco /m clock recovery unit ( cru ) control high-speed serial recovered clk low-speed parallel recovered clk dn up /l rx_pll_locked rx_freqlocked dedicated refclk0 /2 dedicated refclk1 /2 inter-transceiver lines [2:0] global clock (2) rx_locktorefclk rx_locktodata rx_datain rx_cruclk
2?16 altera corporation arria gx device handbook, volume 1 may 2008 transceivers the clock recovery unit controls whether the receiver pll locks to the input reference clock (lock-to-reference mode) or the incoming serial data (lock-to data mode). you can set the cru to switch between lock-to-data and lock-to-reference modes automatic ally or manually. in automatic lock mode, the phase detector and dedicated parts per million (ppm) detector within each receiver ch annel control the switch between lock-to-data and lock-to-reference modes based on some pre-set conditions. in manual lock mode, yo u control the switch manually using the rx_locktorefclk and rx_locktodata signals. f for more details, refer to the cloc k recovery unit section in the arria gx transceiver protocol support and additional features chapter in volume 2 of the arria gx device handbook . table 2?4 show the behavior of the cru block with respect to the rx_locktorefclk and rx_locktodata signals. if the rx_locktorefclk and rx_locktodata ports are not used, the default is automatic lock mode. deserializer the deserializer block clocks in serial input data from the receiver buffer using the high-speed serial recovered clock and deserializes into 8- or 10-bit parallel data using the low-speed parallel recovered clock. the serial data is assumed to be received with lsb first, followed by msb. it feeds the deserialized 8- or 10-bit data to the word aligner, as shown in figure 2?14 . table 2?4. cru manual lock signals rx_locktorefclk rx_locktodata cru mode 1 0 lock-to-reference clock x 1 lock-to-data 0 0 automatic
altera corporation 2?17 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?14. deserializer note (1) note to figure 2?14 : (1) this is a 10-bit deserializer. the deserializer can also convert 8 bits of data. word aligner the deserializer block creates 8- or 10-bit parallel data. the deserializer ignores protocol symbol boundaries when converting this data. therefore, the boundaries of the tr ansferred words are arbitrary. the word aligner aligns the incoming data based on specific byte or word boundaries. the word alignment module is clocked by the local receiver recovered clock during normal oper ation. all the data and programmed patterns are defined as ?big-endian? (most significant word followed by least significant word). most-significant-bit-first protocols should reverse the bit order of word align patterns programmed. this module detects word boundaries for 8b/10b-based protocols. this module is also used to align to specific programmable patterns in prbs7/23 test mode. pattern detection the programmable pattern detection logic can be programmed to align word boundaries using a single 7- or 10-bit pattern. the pattern detector can either do an exact match, or match the exact pattern and the d9 d8 d7 d6 d5 d4 d3 d2 d1 d0 d9 d8 d7 d6 d5 d4 d3 d2 d1 d0 to word aligner clock recovery unit low -speed parallel recovered clock high-speed serial recovered clock received data 10
2?18 altera corporation arria gx device handbook, volume 1 may 2008 transceivers complement of a given pattern. once the programmed pattern is found, the data stream is aligned to have the pattern on the lsb portion of the data output bus. xaui, gige, pci express (pipe), and serial rapidio standards have embedded state machines for symbol boundary synchronization. these standards use k28.5 as their 10-bit programmed comma pattern. each of these standards uses different algo rithms before signaling symbol boundary acquisition to the fpga. pattern detection logic searches from the lsb to the most significant bit (msb). if multiple patterns are found within the search window, the pattern in the lower portion of the data stream (corresponding to the pattern received earlier) is aligned and the rest of the matching patterns are ignored. once a pattern is detected and the data bus is aligned, the word boundary is locked. the two detection status signals ( rx_syncstatus and rx_patterndetect ) indicate that an alignment is complete. figure 2?15 is a block diagram of the word aligner. figure 2?15. word aligner control and status signals the rx_enapatternalign signal is the fpga control signal that enables word alignment in non-automatic modes. the rx_enapatternalign signal is not used in automatic modes (pci express [pipe], xaui, gige, and serial rapidio). in manual alignment mode, after the rx_enapatternalign signal is activated, the rx_syncstatus signal goes high for one parallel clock cycle to indicate that the alignment pattern has been detected and the word boundary has been locked. if rx_enapatternalign is word aligner datain dataout bitslip enapatternalign syncstatus patterndetect clock
altera corporation 2?19 may 2008 arria gx device handbook, volume 1 arria gx architecture deactivated, the rx_syncstatus signal acts as a re-synchronization signal to signify that the alignment pattern has been detected but not locked on a different word boundary. when using the synchroni zation state machine, the rx_syncstatus signal indicates the link status. if the rx_syncstatus signal is high, link synchronization is achieved. if the rx_syncstatus signal is low, link synchronization has not yet been achi eved, or there were enough code group errors to lose synchronization. f for more information about manual alignment modes, refer to the arria gx device handbook . the rx_patterndetect signal pulses high during a new alignment and whenever the alignment pattern occurs on the current word boundary. programmable run length violation the word aligner supports a programmable run length violation counter. whenever the number of the contin uous ?0? (or ?1?) exceeds a user programmable value, the rx_rlv signal goes high for a minimum pulse width of two recovered clock cycles . the maximum run values supported are 128 ui for 8-bit serialization or 160 ui for 10-bit serialization. running disparity check the running disparity error rx_disperr and running disparity value rx_runningdisp are sent along with alig ned data from the 8b/10b decoder to the fpga. you can ignore or act on the reported running disparity value and running disparity error signals. bit-slip mode the word aligner can operate in either pattern detection mode or in bit-slip mode.
2?20 altera corporation arria gx device handbook, volume 1 may 2008 transceivers the bit-slip mode provides the op tion to manually shift the word boundary through the fpga. this feature is useful for: longer synchronization patterns than the pattern detector can accommodate scrambled data stream input stream consisting of over-sampled data the word aligner outputs a word boundary as it is received from the analog receiver after reset. you can examine the word and search its boundary in the fpga. to do so, assert the rx_bitslip signal. the rx_bitslip signal should be toggled and held constant for at least two fpga clock cycles. for every rising edge of the rx_bitslip signal, the current word boundary is slipped by one bit. every time a bit is slipped, the bit received earliest is lost. if bit slipping shifts a complete round of bus width, the word boundary is back to the original boundary. the rx_syncstatus signal is not available in bit-slipping mode. channel aligner the channel aligner is available only in xaui mode and aligns the signals of all four channels within a transc eiver. the channel aligner follows the ieee 802.3ae, clause 48 specif ication for channel bonding. the channel aligner is a 16-word fifo buffer with a state machine controlling the channel bonding proce ss. the state machine looks for an /a/ (/k28.3/) in each channel and alig ns all the /a/ code groups in the transceiver. when four columns of /a/ (denoted by //a//) are detected, the rx_channelaligned signal goes high, signifying that all the channels in the transceiver have been aligned. the reception of four consecutive misaligned /a/ code groups restarts the channel alignment sequence and sends the rx_channelaligned signal low.
altera corporation 2?21 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?16 shows misaligned channels before the channel aligner and the aligned channels after the channel aligner. figure 2?16. before and af ter the channel aligner rate matcher in asynchronous systems, the upstream transmitter and local receiver may be clocked with independent re ference clock sources. frequency differences in the order of a few hundred ppm can potentially corrupt the data at the receiver. the rate matcher compensates for small clock frequency differences between the upstream transmitter an d the local receiver clocks by inserting or removing skip characters from the inter packet gap (ipg) or idle streams. it inserts a skip character if the local receiver is running a faster clock than the upstream transmitte r. it deletes a skip character if the local receiver is running a slower cloc k than the upstream transmitter. the quartus ii software au tomatically configures the appropriate skip character as specified in the ieee 802.3 for gige mode and pci-express base specification for pci express (pipe) mode. the rate matcher is bypassed in serial rapidio and must be implemented in the pld logic array or external circuits depe nding on your system design. kr kkk r r rkk r a lane 3 kr kkk r r rkk r a lane 2 kr kkk r r rkk r a lane 1 kr kkk r r rkk r a lane 0 kr kkk r r rkk r a lane 3 kr kkk r r rkk r a lane 2 kr kkk r r rkk r a lane 1 kr kkk r r rkk r a lane 0 before after
2?22 altera corporation arria gx device handbook, volume 1 may 2008 transceivers table 2?5 shows the maximum frequency difference that the rate matcher can tolerate in xaui, pci express (p ipe), gige, and basic functional modes. xaui mode in xaui mode, the rate matcher adhe res to clause 48 of the ieee 802.3ae specification for clock rate compensation. the rate matcher performs clock compensation on columns of /r/ (/k28.0/), denoted by //r//. an //r// is added or deleted automatically based on the number of words in the fifo buffer. pci express (pipe) mode rate matcher in pci express (pipe) mode, the rate matcher can compensate up to 300 ppm (600 ppm total) frequency difference between the upstream transmitter and the receiver. the rate matcher logic looks for skip ordered sets (sos), which contains a /k28.5/ comma followed by three /k28.0/ skip characters. the rate matcher lo gic deletes or inserts /k28.0/ skip characters as necessary from /to the rate matcher fifo. the rate matcher in pci express (pipe) mode has a fifo buffer overflow and underflow protection. in the event of a fifo buffer overflow, the rate matcher deletes any data after detecting the overflow condition to prevent fifo pointer corruption until the rate matcher is not full. in an underflow condition, the rate matche r inserts 9'h1fe (/k30.7/) until the fifo buffer is not empty. these measur es ensure that the fifo buffer can gracefully exit the overflow and un derflow condition without requiring a fifo reset. the rate matcher fifo overflow and underflow condition is indicated on the pipestatus port. you can bypass the rate matcher in pc i express (pipe) mode if you have a synchronous system where the upstream transmitter and local receiver derive their reference clocks from the same source. table 2?5. rate matcher ppm tolerance function mode ppm xaui 100 pci express (pipe) 300 gige 100 basic 300
altera corporation 2?23 may 2008 arria gx device handbook, volume 1 arria gx architecture gige mode rate matcher in gige mode, the rate matcher can compensate up to 100 ppm (200 ppm total) frequency difference between the upstream transmitter and the receiver. the rate matcher lo gic inserts or deletes /i2/ idle ordered sets to/from the rate matche r fifo during the inter-frame or inter-packet gap (ifg or ipg). /i2/ is selected as the rate matching ordered set since it maintains the ru nning disparity, unlike /i1/ that alters the running dispa rity. since the /i2/ or dered-set contains two 10-bit code groups (/k28.5/, /d16.2/), 20 bits are inserted or deleted at a time for rate matching. 1 the rate matcher logic has the capability to insert or delete /c1/ or /c2/ configuration ordered sets when ?gige enhanced? mode is chosen as the sub-prot ocol in the megawizard plug-in manager. if the frequency ppm difference be tween the upstream transmitter and the local receiver is high, or if the pa cket size is too large, the rate matcher fifo buffer can face an over flow or underflow situation. basic mode in basic mode, you can program the skip and control pattern for rate matching. there is no restriction on th e deletion of a skip character in a cluster. the rate matcher deletes the sk ip characters as long as they are available. for insertion, the rate matc her inserts skip characters such that the number of skip characters at th e output of rate matcher does not exceed five. 8b/10b decoder the 8b/10b decoder is used in al l supported functi onal modes. the 8b/10b decoder takes in 10-bit data from the rate matcher and decodes it into 8-bit data + 1-bit control identi fier, thereby restoring the original transmitted data at the receiver. th e 8b/10b decoder indicates whether the received 10-bit character is a data or control code through the rx_ctrldetect port. if the received 10-bit code group is a control character ( kx.y ), the rx_ctrldetect signal is driven high and if it is a data character ( dx.y ), the rx_ctrldetect signal is driven low.
2?24 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?17 shows a 10-bit code group decoded to an 8-bit data and a 1-bit control indicator. figure 2?17. 10-bit to 8-bit conversion if the received 10-bit code is not a part of valid dx.y or kx.y code groups, the 8b/10b decoder block asserts an error flag on the rx_errdetect port. if the received 10-bit code is detected with incorrect running disparity, the 8b/10b decoder bloc k asserts an error flag on the rx_disperr and rx_errdetect ports. the error flag signals ( rx_errdetect and rx_disperr ) have the same data path delay from the 8b/10b decoder to the pld-transceiver interface as the bad code group. receiver state machine the receiver state machine operates in basic, gige, pci express (pipe), and xaui modes. in gige mode, the receiver state machine replaces invalid code groups with k30.7. in xaui mode, the receiver state machine translates the xaui pcs co de group to the xaui xgmii code group. byte deserializer byte deserializer takes in one-byte wide data from the 8b/10b decoder and deserializes it into a two-byte wide data at half the speed. this allows clocking the pld-receiver interface at half the speed as compared to the receiver pcs logic. the byte deserializer is bypassed in gige mode. 9876543210 8b/10b conversion jhgfiedcba msb received last lsb received first 76543210 hgfed cb a ctrl parallel data
altera corporation 2?25 may 2008 arria gx device handbook, volume 1 arria gx architecture the byte ordering at the receiver outp ut might be different than what was transmitted. this is a non-deterministic swap, because it depends on pll lock times and link delay. if required, you must implement byte ordering logic in the pld to co rrect this situation. f for more details, refer to the arria gx transceiver architecture chapter in volume 2 of arria gx device handbook . receiver phase compensation fifo buffer a receiver phase compensation fifo bu ffer is located at each receiver channel?s logic array interface. it compensates for the phase difference between the receiver pcs clock and the local pld receiver clock. the receiver phase compensation fifo is used in all supported functional modes. the receiver phase compensation fifo buffer is eight words deep in pci express (pipe) mode and four words deep in all other modes. f for more details about architec ture and clocking, refer to the arria gx transceiver architecture chapter in volume 2 of arria gx device handbook . loopback modes arria gx transceivers support the fo llowing loopback configurations for diagnostic purposes: serial loopback reverse serial loopback reverse serial loopback (pre-cdr) pci express (pipe) reverse parallel loopback (available only in [pipe] mode)
2?26 altera corporation arria gx device handbook, volume 1 may 2008 transceivers serial loopback figure 2?18 shows the transceiver data path in serial loopback. figure 2?18. transceiver data path in serial loopback in gige and serial rapidio modes, you can dynamically put each transceiver channel individually in serial loopback by controlling the rx_seriallpbken port. a high on the rx_seriallpbken port puts the transceiver into serial loopback an d a low takes the transceiver out of serial loopback. as seen in figure 2?18 , the serial data output from the transmitter serializer is looped ba ck to the receiver cru in serial loopback. the transmitter data path from the pld in terface to the serializer in serial loopback is the same as in non-loop back mode. the receiver data path from the clock recovery unit to the pld interface in serial loopback is the same as in non-loopback mode. since the entire transceiver data path is available in serial loopback, this option is often used to diagnose the data path as a probable cause of link errors. 1 when serial loopback is enabled, the transmitter output buffer is still active and drives th e serial data out on the tx_dataout port. reverse serial loopback reverse serial loopback mode uses the analog portion of the transceiver. an external source (pattern generator or transceiver) generates the source data. the high-speed serial source data arrives at the high-speed differential receiver input buffer, passes through the cru unit and the retimed serial data is looped ba ck, and is transmitted though the high-speed differential tr ansmitter output buffer. figure 2?19 shows the data path in reverse serial loopback mode. transmitter pcs transmitter pma receiver pcs receiver pma pld logic array tx phase compen- sation fifo byte serializer 8b/10b encoder serializer serial loopback clock recovery unit de- serializer word aligner rate match fifo 8b/10b decoder byte de- serializer rx phase compen- sation fifo
altera corporation 2?27 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?19. arria gx block in reverse serial loopback mode reverse serial pre-cdr loopback reverse serial pre-cdr loopback mode uses the analog portion of the transceiver. an external source (p attern generator or transceiver) generates the source data. the high-speed serial source data arrives at the high-speed differential receiver inpu t buffer, loops back before the cru unit, and is transmitted though the high-speed differential transmitter output buffer. it is for test or veri fication use only to verify the signal being received after the gain and eq ualization improvements of the input buffer. the signal at the output is n ot exactly what is received since the signal goes through the output buffer and the v od is changed to the v od setting level. pre-emphasis settings have no effect. transmitter digital logic receiver digital logic analog receiver and transmitter logic fpga logic array bist incremental generator tx phase compensation fifo rx phase compen- sation fifo byte serializer 8b/10b encoder serializer reverse serial loopback bist prbs verify clock recovery unit word aligner deskew fifo 8b/10b decoder byte de- serializer bist incremental verify rate match fifo de- serializer bist prbs generator 20
2?28 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?20 show the arria gx block in re verse serial pre-cdr loopback mode. figure 2?20. arria gx block in reverse serial pre-cdr loopback mode pci express (pipe) reverse parallel loopback figure 2?21 shows the data path for pci ex press (pipe) reverse parallel loopback. the reverse parallel loopback configuration is compliant with the pci express (pip e) specification and is available only on pci express (pipe) mode. figure 2?21. pci express (pipe) reverse parallel loopback you can dynamically put the pci express (pipe) mode transceiver in reverse parallel loopback by controlling the tx_detectrxloopback port instantiated in the megawizar d plug-in manager. a high on the transmitter digital logic receiver digital logic analog receiver and transmitter logic fpga logic array bist incremental generator tx phase compensation fifo rx phase compen- sation fifo byte serializer 8b/10b encoder serializer reverse serial pre-cdr loopback bist prbs verify clock recovery unit word aligner deskew fifo 8b/10b decoder byte de- serializer bist incremental verify rate match fifo de- serializer bist prbs generator 20 tx phase compe- nsation fifo byte serializer 8b/10b encoder rx phase compe- nsation fifo word aligner transmitter pcs transmitter pma receiver pcs receiver pma pipe reverse parallel loopback pipe interface byte de- serializer 8b/10b decoder rate match fifo serializer clock recovery unit de- serializer
altera corporation 2?29 may 2008 arria gx device handbook, volume 1 arria gx architecture tx_detectrxloopback port in p0 power state puts the transceiver in reverse parallel loopback. a high on the tx_detectrxloopback port in any other power state does not put the transceiver in reverse parallel loopback. as seen in figure 2?21 , the serial data received on the rx_datain port in reverse parallel loopback goes through the cru, deserializer, word aligner, and the rate matcher blocks. th e parallel data at the output of the receiver rate matcher block is looped back to the input of the transmitter serializer block. the serializer converts the parallel data to serial data and feeds it to the transmitter output buff er that drives the data out on the tx_dataout port. the data at the output of the rate matcher also goes through the 8b/10b decoder, byte deserializer, and receiver phase compensation fifo before being fed to the pld on the rx_dataout port. reset and powerdown arria gx transceivers of fer a power saving advantage with their ability to shut off functions that are not needed. the following three reset signals are available per transceiver channel and can be used to individually rese t the digital and analog portions within each channel: tx_digitalreset rx_analogreset rx_digitalreset the following two powerdown signals are available per transceiver block and can be used to shut down an entire transceiver block that is not being used: gxb_powerdown gxb_enable
2?30 altera corporation arria gx device handbook, volume 1 may 2008 transceivers table 2?6 shows the reset signals available in arria gx devices and the transceiver circuitry affe cted by each signal. calibration block arria gx devices use the calibr ation block to calibrate on-chip termination for the plls and their associated output buffers and the terminating resistors on the transcei vers. the calibration block counters the effects of process, voltage, an d temperature (pvt). the calibration block references a derived voltage across an external reference resistor to calibrate the on-chip termination re sistors on arria gx devices. the calibration block can be powered down. however, powering down the calibration block during operations may yield transmit and receive data errors. table 2?6. reset signal map to arria gx blocks reset signal transmitter phase co mpensation fifo module/ byte serializer transmitter 8b/10b encoder transmitter serializer transmitter a nalog circuits transmitter pll transmitter xaui state machine bist generators receiver deserializer receiver word aligner receiver deskew fifo module receiver rate matcher receiver 8b/10b decoder receiver phase comp fifo module/ byte deserializer receiver pll / cru receiver xaui state machine bist verifiers receiver analog circuits rx_digitalreset vvvvvv rx_analogreset vvv tx_digitalreset vv vv gxb_powerdown vvvvvvvvv vvvvvvv gxb_enable vvvvvvvvv vvvvvvv
altera corporation 2?31 may 2008 arria gx device handbook, volume 1 arria gx architecture transceiver clocking this section describes the clock distribution within an arria gx transceiver channel and the pld clock resource utilization by the transceiver blocks. transceiver channel clock distribution each transceiver block has one transm itter pll and four receiver plls. the transmitter pll multiplies the in put reference clock to generate a high-speed serial clock at a frequency that is half the data rate of the configured functional mode. this high-speed serial clock (or its divide-by-two version if the functional mode uses byte serializer) is fed to the cmu clock divider block. depe nding on the configured functional mode, the cmu clock divide r block divides the high -speed serial clock to generate the low-speed parallel clock that clocks the transceiver pcs logic in the associated channel. the low-speed parallel clock is also forwarded to the pld logic array on the tx_clkout or coreclkout ports. the receiver pll in each channel is also fed by an input reference clock. the receiver pll along with the clock recovery unit generates a high-speed serial recovered clock and a low-speed parallel recovered clock. the low-speed parallel recovered clock feeds the receiver pcs logic until the rate matcher. the cmu low-sp eed parallel clock clocks the rest of the logic from the rate matcher un til the receiver phase compensation fifo. in modes that do not use a rate matcher, the receiver pcs logic is clocked by the recovered clock unti l the receiver phase compensation fifo. the input reference clock to the tran smitter and receiver plls can be derived from: one of two available dedicated reference clock input pins ( refclk0 or refclk1 ) of the associated transceiver block pld clock network (must be driven directly from an input clock pin and cannot be driven by user logic or enhanced pll) inter-transceiver block lines driven by reference clock input pins of other transcei ver blocks
2?32 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?22 shows the input reference cloc k sources for the transmitter and receiver pll. figure 2?22. input reference clock sources f for detailed transceiver clocking in all supported functional modes, refer to the arria gx transceiver architecture chapter in volume 2 of the arria gx device handbook . pld clock utilization by transceiver blocks arria gx devices have up to 16 global clock ( gclk ) lines and 16 regional clock ( rclk ) lines that are used to route the transceiver clocks. the following transceiver clocks utilize the available gl obal and regional clock resources: pll_inclk (if driven from an fpga input pin) rx_cruclk (if driven from an fpga input pin) tx_clkout/coreclkout (cmu low-speed parallel clock forwarded to the pld) recovered clock from each channel ( rx_clkout ) in non-rate matcher mode transceiver block 2 transceiver block 1 /2 /2 global clock (1) transmitter pll transceiver block 0 four receiver plls global clock (1) inter-transceiver lines [2:0] dedicated refclk1 dedicated refclk0 inter-transceiver lines [0] inter-transceiver lines [1] inter-transceiver lines [2]
altera corporation 2?33 may 2008 arria gx device handbook, volume 1 arria gx architecture calibration clock ( cal_blk_clk ) fixed clock ( fixedclk used for receiver detect circuitry in pci express [pipe] mode only) figures 2?23 and 2?24 show the available global and regional clock resources in arria gx devices. figure 2?23. global clock resour ces in arria gx devices gclk[15..12] gclk[4..7] gclk[11..8] gclk[3..0] arria gx transceiver block arria gx transceiver block 12 6 11 5 clk[7..4] clk[15..12] clk[3..0] 1 7 2 8
2?34 altera corporation arria gx device handbook, volume 1 may 2008 transceivers figure 2?24. regional clock resour ces in arria gx devices for the regional or global clock netw ork to route into the transceiver, a local route input output (lrio) channel is required. each lrio clock region has up to eight clock paths and each transceiver block has a maximum of eight clock paths for co nnecting with lrio clocks. these resources are limited and determine the number of clocks that can be used between the pld and transceiver blocks. tables 2?7 and 2?8 give the number of lrio resources available for arria gx devices with different number of transceiver blocks. table 2?7. available clocking connections for transceivers in ep1agx35d, ep1agx50d, and ep1agx60d source clock resource transceiver global clock regional clock bank13 8 clock i/o bank14 8 clock i/o region0 8 lrio clock v rclk 20-27 v region1 8 lrio clock v rclk 12-19 v rclk [3..0] rclk [7..4] rclk [23..20] rclk [19..16] rclk [11..8] rclk [15..12] rclk [31..28] rclk [27..24] arria gx transceiver block arria gx transceiver block 12 6 11 5 clk[7..4] clk[15..12] clk[3..0] 1 7 2 8
altera corporation 2?35 may 2008 arria gx device handbook, volume 1 arria gx architecture logic array blocks each logic array block (lab) consists of eight adaptive logic modules (alms), carry chains, shared arithmetic chains, lab control signals, local interconnects, and register chain conn ection lines. the local interconnect transfers signals between alms in the same lab. register chain connections transfer the output of an alm register to the adjacent alm register in a lab. the quartus ii co mpiler places asso ciated logic in a lab or adjacent labs, allowing the use of local, shared arithmetic chain, and register chain connections for performance and area efficiency. table 2?9 shows arria gx device resources. figure 2?25 shows the arria gx lab structure. table 2?8. available clocking connections fo r transceivers in ep1agx60e and ep1agx90e source clock resource transceiver global clock regional clock bank13 8 clock i/o bank14 8 clock i/o bank15 8 clock i/o region0 8 lrio clock v rclk 20-27 v region1 8 lrio clock v rclk 20-27 v v region2 8 lrio clock v rclk 12-19 vv region3 8 lrio clock v rclk 12-19 v table 2?9. arria gx device resources device m512 ram columns/blocks m4k ram columns/blocks m-ram blocks dsp block columns/blocks ep1agx20 166 118 1 10 ep1agx35 197 140 1 14 ep1agx50 313 242 2 26 ep1agx60 326 252 2 32 ep1agx90 478 400 4 44
2?36 altera corporation arria gx device handbook, volume 1 may 2008 logic array blocks figure 2?25. arria gx lab structure lab interconnects the lab local interconnect can drive all eight alms in the same lab. it is driven by column and row interc onnects and alm outputs in the same lab. neighboring labs, m512 ra m blocks, m4k ram blocks, m-ram blocks, or digital signal processing (dsp) blocks from the left and right can also drive a lab's local interconnect through the direct link connection. the direct link connection feature minimizes the use of row and column interconnects, providing higher performance and flexibility. each alm can drive 24 alms through fast local and direct link interconnects. direct link interconnect from adjacent block direct link interconnect to adjacent block row interconnects of variable speed & length column interconnects of variable speed & length local interconnect is driven from either side by columns & labs, & from above by rows local interconnect lab direct link interconnect from adjacent block direct link interconnect to adjacent block alms
altera corporation 2?37 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?26 shows the direct link connection. figure 2?26. direct link connection lab control signals each lab contains dedicated logic for driving control signals to its alms. the control signals include three clocks, three clock enables, two asynchronous clears, synchronous clea r, asynchronous preset/load, and synchronous load control signals, providing a maximum of 11 control signals at a time. although synchr onous load and clear signals are generally used when implementing coun ters, they can also be used with other functions. each lab can use three clocks and th ree clock enable signals. however, there can only be up to two unique cl ocks per lab, as shown in the lab control signal generation circuit in figure 2?27 . each lab?s clock and clock enable signals are linked. for example, any alm in a particular lab using the labclk1 signal also uses labclkena1 . if the lab uses both the rising and falling edges of a clock, it also uses two lab-wide clock signals. de-asserting the clock enable signal turns off the corresponding lab-wide clock. each lab can use two asynchronous clear signals and an asynchronous lo ad/preset signal. the asynchronous lab alms direct link interconnect to right direct link interconnect from right lab, trimatrix memory block, dsp block, or ioe output direct link interconnect from left lab, trimatrix tm memory block, dsp block, or input/output element (ioe) local interconnect direct link interconnect to left
2?38 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules load acts as a preset when the asynch ronous load data input is tied high. when the asynchronous load/p reset signal is used, the labclkena0 signal is no longer available. the lab row clocks [5..0] and lab local interconnect generate the lab-wide control signals. the multit rack interconnects have inherently low skew. this low skew allows the multitrack interconnects to distribute clock and control si gnals in addition to data. figure 2?27 shows the lab control signal generation circuit. figure 2?27. lab-wide control signals adaptive logic modules the basic building block of logic in th e arria gx architecture is the alm. the alm provides advanced features with efficient logic utilization. each alm contains a variety of look-up table (lut)-based resources that can be divided between two adaptive luts (aluts). with up to eight inputs dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect labclk2 syncload labclkena0 or asyncload or labpreset labclk0 labclk1 labclr1 labclkena1 labclkena2 labclr0 synclr 6 6 6 there are two unique clock signals per lab.
altera corporation 2?39 may 2008 arria gx device handbook, volume 1 arria gx architecture to the two aluts, one alm can impl ement various combinations of two functions. this adaptabi lity allows the alm to be completely backward- compatible with four-input lut architectures. one alm can also implement any function of up to si x inputs and certain seven-input functions. in addition to the adaptive lut-base d resources, each alm contains two programmable registers, two dedicate d full adders, a carry chain, a shared arithmetic chain, and a regi ster chain. through these dedicated resources, the alm can efficientl y implement various arithmetic functions and shift registers. each al m drives all types of interconnects: local, row, column, carry chain, shared arithmetic chain, register chain, and direct link interconnects. figure 2?28 shows a high-level block diagram of the arria gx alm while figure 2?29 shows a detailed view of all the connections in the alm. figure 2?28. high-level block diagram of the arria gx alm dq to general or local routing reg0 to general or local routing datae0 dataf0 shared_arith_in shared_arith_out reg_chain_in reg_chain_out adder0 dataa datab datac datad combinational logic datae1 dataf1 dq to general or local routing reg1 to general or local routing adder1 carry_in carry_out
2?40 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules figure 2?29. arria gx alm details prn/ald clrn d a data ena q prn/ald clrn d a data ena q 4-input lut 3-input lut 3-input lut 4-input lut 3-input lut 3-input lut dataa datac datae0 dataf0 dataf1 datae1 datab datad v cc reg_chain_in sclr asyncload syncload ena[2..0] shared_arith_in carry_in carry_out clk[2..0] local interconnect row, column & direct link routing row, column & direct link routing local interconnect row, column & direct link routing row, column & direct link routing reg_chain_out shared_arith_out aclr[1..0] local interconnect local interconnect local interconn ect local interconnect local interconnect local interconnect local interconnect local interconnect
altera corporation 2?41 may 2008 arria gx device handbook, volume 1 arria gx architecture one alm contains two programmable registers. each register has data, clock, clock enable, synchronous and asynchronous clear, asynchronous load data, and synchronous and as ynchronous load/preset inputs. global signals, general-purpose i/o pi ns, or any internal logic can drive the register's clock and clear control signals. either general-purpose i/o pins or internal logic can drive th e clock enable, preset, asynchronous load, and asynchronous load data. the asynchronous load data input comes from the datae or dataf input of the alm, which are the same inputs that can be used for register packing. for combinational functions, the register is bypassed and the output of the lut drives directly to the outputs of the alm. each alm has two sets of outputs that drive the local, row, and column routing resources. the lut, adder, or register output can drive these output drivers independently (see figure 2?29 ). for each set of output drivers, two alm outputs can drive co lumn, row, or direct link routing connections. one of these alm output s can also drive local interconnect resources. this allows the lut or adder to drive one output while the register drives another output. this feature, called register packing, improves device utilization because the device can use the register and combinational logic for unrelated functions. another special packing mode allows the register output to feed back into the lut of the same alm so that the register is packed wi th its own fan-out lut. this feature provides another mechanism for improved fitting. the alm can also drive out registered and unregistered versions of the lut or adder output. alm operating modes the arria gx alm can operate in one of the following modes: normal mode extended lut mode arithmetic mode shared arithmetic mode each mode uses alm resources differently. each mode has 11 available inputs to the alm (see figure 2?28 ) ? the eight data inputs from the lab local interconnect; carry-in from the previous alm or lab; the shared arithmetic chain connection from th e previous alm or lab; and the register chain connection ? are directed to different destinations to implement the desired logic function. lab-wide signals provide clock, asynchronous clear, asynchronous preset/load, synchronous clear, synchronous load, and clock enable control for the register. these
2?42 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules lab-wide signals are available in all alm modes. refer to ?lab control signals? on page 2?37 for more information about lab-wide control signals. the quartus ii software and supported third-party synthesis tools, in conjunction with parameterized functions such as library of parameterized modules (lpm) func tions, automatic ally choose the appropriate mode for common func tions such as counters, adders, subtractors, and arithmetic functions. if required, you can also create special-purpose functions that spec ify which alm operating mode to use for optimal performance. normal mode normal mode is suitable for general logic applications and combinational functions. in this mode, up to eigh t data inputs from the lab local interconnect are inputs to the combinational logic. normal mode allows two functions to be implemented in one arria gx alm, or an alm to implement a single function of up to six inputs. the alm can support certain combinations of completely independent functions and various combinations of functions which have common inputs. figure 2?30 shows the supported lut combinations in normal mode.
altera corporation 2?43 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?30. alm in normal mode note (1) note to figure 2?30 : (1) combinations of functions with less inputs than those shown are also suppo rted. for exam ple, combinations of functions with the following number of inputs are supported: 4 and 3, 3 and 3, 3 and 2, 5 and 2, etc. normal mode provides complete backward compatibility with four-input lut architectures. two in dependent functions of four inputs or less can be implemented in one arria gx alm. in addition, a five-input function and an indepe ndent three-input function can be implemented withou t sharing inputs. 6-input lut dataf0 datae0 dataf0 datae0 dataa datab dataa datab datab datac datac dataf0 datae0 dataa datac 6-input lut datad datad datae1 combout0 combout1 combout0 combout1 combout0 combout1 dataf1 datae1 dataf1 datad datae1 dataf1 4-input lut 4-input lut 4-input lut 6-input lut dataf0 datae0 dataa datab datac datad combout0 5-input lut 5-input lut dataf0 datae0 dataa datab datac datad combout0 combout1 datae1 dataf1 5-input lut dataf0 datae0 dataa datab datac datad combout0 combout1 datae1 dataf1 5-input lut 3-input lut
2?44 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules to pack two five-input functions in to one alm, the functions must have at least two common inputs. the common inputs are dataa and datab . the combination of a four-input func tion with a five -input function requires one common input (either dataa or datab ). to implement two six-input functions in one alm, four inputs must be shared and the combinational function must be the same. for example, a 4 2 crossbar switch (two 4-to-1 multiplexers with common inputs and unique select lines) can be implemented in one alm, as shown in figure 2?31 . the shared inputs are dataa , datab , datac , and datad , while the unique select lines are datae0 and dataf0 for function0 , and datae1 and dataf1 for function1 . this crossbar switch consumes four luts in a four-input lut-based architecture. figure 2?31. 4 2 crossbar switch example in a sparsely used device, function s that could be placed into one alm can be implemented in separate alms. the quartus ii compiler spreads a design out to achieve the best possible performance. as a device begins to fill up, the quartus ii software au tomatically utilizes the full potential of the arria gx alm. the quartus ii compiler automatically searches for functions of common inputs or comple tely independent functions to be placed into one alm and to make efficien t use of the device resources. in addition, you can manually control re source usage by setting location assignments. any six-input function can be implemented utilizing inputs dataa , datab , datac , datad , and either datae0 and dataf0 or datae1 and dataf1 . if datae0 and dataf0 are utilized, the output is driven to register0 , and/or register0 is bypassed and the data drives out to the interconnect using the top set of output drivers (see figure 2?32 ). if datae1 and dataf1 are utilized, the output drives to register1 and/or bypasses register1 and drives to the interconnect six-input lut (function0) dataf0 datae0 dataa datab datac six-input lut (function1) datad datae1 combout0 combout1 dataf1 inputa sel0[1..0] sel1[1..0] inputb inputc inputd out0 out1 4 2 crossbar switch implementation in 1 alm
altera corporation 2?45 may 2008 arria gx device handbook, volume 1 arria gx architecture using the bottom set of output dr ivers. the quartu s ii compiler automatically selects the inputs to th e lut. asynchronous load data for the register comes from the datae or dataf input of the alm. alms in normal mode support register packing. figure 2?32. six-input func tion in normal mode notes (1) , (2) notes to figure 2?32 : (1) if datae1 and dataf1 are used as inputs to the six-input function, datae0 and dataf0 are available for register packing. (2) the dataf1 input is available for register packing only if the six-input function is un-registered. extended lut mode extended lut mode is used to implem ent a specific set of seven-input functions. the set must be a 2-to-1 mul tiplexer fed by two arbitrary five- input functions sharing four inputs. figure 2?33 shows the template of supported seven-input functions utilizing extended lut mode. in this mode, if the seven-input function is unregistered, the unused eighth input is available for register packing. functions that fit into the template shown in figure 2?33 occur naturally in design s. these functions often appear in designs as ?if-else? statements in verilog hdl or vhdl code. 6-input lut dataf0 datae0 dataa datab datac datad datae1 dataf1 dq dq to general or local routing to general or local routing to general or local routing reg0 reg1 these inputs are available for register packing. (2)
2?46 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules figure 2?33. template for supported sev en-input functions in extended lut mode note to figure 2?33 : (1) if the seven-input function is unregistered, the unused eighth input is available for register packing. the second register, reg1 , is not available. arithmetic mode arithmetic mode is ideal for implementing adders, counters, accumulators, wide pari ty functions, and comp arators. an alm in arithmetic mode uses two sets of 2 four-input luts along with two dedicated full adders. the dedicated adders allow the luts to be available to perform pre-adder logic; therefore, each adder can add the output of two four-input functions. the four luts share the dataa and datab inputs. as shown in figure 2?34 , the carry-in signal feeds to adder0 , and the carry-out from adder0 feeds to carry-in of adder1 . the carry-out from adder1 drives to adder0 of the next alm in the lab. alms in arithmetic mode can drive out registered and/or unregistered versions of the adder outputs. datae0 combout0 5-input lut 5-input lut datac dataa datab datad dataf0 datae1 dataf1 dq to general or local routing to general or local routing reg0 this input is available for register packing. (1)
altera corporation 2?47 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?34. alm in arithmetic mode while operating in arithmetic mode, the alm can support simultaneous use of the adder?s carry output along with combinational logic outputs. in this operation, adder output is ig nored. this usage of the adder with the combinational logic output provides resource savings of up to 50% for functions that can use this ability. an example of such functionality is a conditional operation, such as the one shown in figure 2?35 . the equation for this example is: r = (x < y) ? y : x to implement this function, the adder is used to subtract ?y? from ?x.? if ?x? is less than ?y,? the carry_out signal will be ?1.? the carry_out signal is fed to an adder where it drives out to the lab local interconnect. it then feeds to the lab-wide syncload signal. when asserted, syncload selects the syncdata input. in this case , the data ?y? drives the syncdata inputs to the registers. if ?x? is greater than or equal to ?y,? the syncload signal is de-asserted and ?x? drives the data port of the registers. dataf0 datae0 carry_in carry_out dataa datab datac datad datae1 dataf1 dq dq to general or local routing to general or local routing reg0 reg1 to general or local routing to general or local routing 4-input lut 4-input lut 4-input lut 4-input lut adder1 adder0
2?48 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules figure 2?35. conditional operation example arithmetic mode also offers clock enable, counter enable, synchronous up/down control, add/subtract control, synchronous clear, and synchronous load. the lab local interconnect data inputs generate the clock enable, counter enable, sync hronous up/down and add/subtract control signals. these control signals may be used for the inputs that are shared between the four luts in the alm. the synchronous clear and synchronous load options are lab-wide signals that affect all registers in the lab. the quartus ii software automatically places any registers that are not used by the co unter into other labs. carry chain carry chain provides a fast carry func tion between the dedicated adders in arithmetic or shared arithmetic mo de. carry chains can begin in either the first alm or the fifth alm in a lab. the final carry-out signal is routed to an alm, where it is fed to local, row, or column interconnects. y[1] y[0] x[0] x[0] carry_out x[2] x[2] x[1] x[1] y[2] dq to general or local routing reg0 comb & adder logic comb & adder logic comb & adder logic comb & adder logic dq to general or local routing reg1 dq to general or local routing to local routing & then to lab-wide syncload reg0 syncload syncload syncload alm 1 alm 2 r[0] r[1] r[2] carry chain adder output is not used. syncdata
altera corporation 2?49 may 2008 arria gx device handbook, volume 1 arria gx architecture the quartus ii compiler automatically creates carry chain logic during compilation, or you can create it manually during design entry. parameterized functions such as lpm functions autom atically take advantage of carry chains for the a ppropriate functions. the quartus ii compiler creates carry chains longer than 16 (8 alms in arithmetic or shared arithmetic mode) by linking labs together automatically. for enhanced fitting, a long carry chai n runs vertically allowing fast horizontal connections to trimatrix memory an d dsp blocks. a carry chain can continue as far as a full co lumn. to avoid routing congestion in one small area of the device when a high fan-in arithmetic function is implemented, the lab can support carry chains that only utilize either the top half or bottom half of the lab before connecting to the next lab. the other half of the alms in the lab is available for implementing narrower fan-in functions in normal mode. carry chains that use the top four alms in the first lab carries in to the top half of the alms in the next lab within the column. carry ch ains that use the bottom four alms in the first lab carries into the bottom half of the alms in the next lab within the column. every other co lumn of the labs are top-half bypassable, while the other lab co lumns are bottom-half bypassable. refer to ?multitrack interconnect? on page 2?54 for more information about carry chain interconnect. shared arithmetic mode in shared arithmetic mode, the alm can implement a three-input add. in this mode, the alm is configured with four 4-input luts. each lut either computes the sum of three inpu ts or the carry of three inputs. the output of the carry computation is fed to the next adder (either to adder1 in the same alm or to adder0 of the next alm in the lab) using a dedicated connection called the shared arithmetic chain. this shared arithmetic chain can significantly im prove the performance of an adder tree by reducing the number of summ ation stages required to implement an adder tree. figure 2?36 shows the alm in shared arithmetic mode.
2?50 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules figure 2?36. alm in shared arithmetic mode note to figure 2?36 : (1) inputs dataf0 and dataf1 are available for register pack ing in shared arithmetic mode. adder trees are used in many different applications. for example, the summation of partial products in a logic-based multiplier can be implemented in a tree structure. another example is a corr elator function that can use a large adder tree to sum filtered data samples in a given time frame to recover or to de-spread data which was transmitted utilizing spread spectrum technology. an ex ample of a three-bit add operation utilizing the shared arithmetic mode is shown in figure 2?37 . the partial sum ( s[2..0] ) and the partial carry ( c[2..0] ) is obtained using luts, while the result ( r[2..0] ) is computed using dedicated adders. datae0 carry_in shared_arith_in shared_arith_out carry_out dataa datab datac datad datae1 dq dq to general or local routing to general or local routing reg0 reg1 to general or local routing to general or local routing 4-input lut 4-input lut 4-input lut 4-input lut
altera corporation 2?51 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?37. example of a 3-bit add utilizing shared arithmetic mode shared arithmetic chain in addition to dedicated carry chain routing, the shared arithmetic chain available in shared arithmetic mo de allows the alm to implement a three-input add, which significantly reduces the resources necessary to implement large adder trees or correl ator functions. shared arithmetic chains can begin in either the first or fifth alm in a lab. the quartus ii compiler automatically links labs to create shared arithmetic chains longer than 16 (8 alms in arithmetic or shared arithmetic mode). for enhanced fitting, a long shared arithm etic chain runs vertically allowing carry_in = '0' shared_arith_in = '0' z0 y0 x0 binary add decimal equivalents + z1 x1 r0 c0 s0 s1 s2 c1 c2 '0' r1 y1 3-input lut 3-input lut 3-input lut 3-input lut z2 y2 x2 r2 r3 3-input lut 3-input lut 3-input lut 3-input lut alm 1 3-bit add example alm implementation alm 2 x2 x1 x0 y2 y1 y0 z2 z1 z0 s2 s1 s0 c2 c1 c0 r3 r2 r1 r0 + + 1 1 0 1 0 1 0 1 0 0 0 1 1 1 0 1 1 0 1 + + 6 5 2 1 2 x 6 13 + 2nd stage add is implemented in adders. 1st stage add is implemented in luts.
2?52 altera corporation arria gx device handbook, volume 1 may 2008 adaptive logic modules fast horizontal connections to tr imatrix memory and dsp blocks. a shared arithmetic chain can continue as far as a full column. similar to carry chains, shared arithmetic ch ains are also top- or bottom-half bypassable. this capability allows th e shared arithmetic chain to cascade through half of the alms in a lab while leaving the other half available for narrower fan-in functionality. every other lab column is top-half bypassable, while the other lab co lumns are bottom-half bypassable. refer to ?multitrack interconnect? on page 2?54 for more information about shared arithmetic chain interconnect. register chain in addition to the general routing ou tputs, the alms in a lab have register chain outputs. register chain routing allows registers in the same lab to be cascaded together. the register chain interconnect allows a lab to use luts for a single combinational function and the registers to be used for an unrelated shift register implementation. these resources speed up connections between alms while saving local interconnect resources (see figure 2?38 ). the quartus ii comp iler automatically takes advantage of these resources to impr ove utilization and performance. refer to ?multitrack interconnect? on page 2?54 for more information about register chain interconnect.
altera corporation 2?53 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?38. register c hain within a lab note (1) note to figure 2?38 : (1) the combinational or adder logic can be utilized to implement an unrelated, un-registered function. dq to general or local routing reg0 to general or local routing reg_chain_in adder0 dq to general or local routing reg1 to general or local routing adder1 dq to general or local routing reg0 to general or local routing reg_chain_out adder0 dq to general or local routing reg1 to general or local routing adder1 from previous alm within the lab to next alm within the lab combinational logic combinational logic
2?54 altera corporation arria gx device handbook, volume 1 may 2008 multitrack interconnect clear and preset logic control lab-wide signals control the logic for the register?s clear and load/preset signals. the alm directly supports an asynchronous clear and preset function. the register preset is achi eved through the asynchronous load of a logic high. the direct asynch ronous preset does not require a not gate push-back technique. arria gx devices support simultaneous asynchronous load/preset and clear signals. an asynchronous clear signal takes precedence if both signals are asserted simultaneously. each lab supports up to two clears and one load/preset signal. in addition to the clear and load/pre set ports, arria gx devices provide a device-wide reset pin ( dev_clrn ) that resets all registers in the device. an option set before compilation in th e quartus ii software controls this pin. this device-wide reset overrides all other control signals. multitrack interconnect in arria gx architecture, the multit rack interconnect structure with directdrive technology provides co nnections between alms, trimatrix memory, dsp blocks, and device i/o pins. the multitra ck interconnect consists of continuous, performance- optimized routing lines of different lengths and speeds used for inter- an d intra-design block connectivity. the quartus ii compiler automatically places critical design paths on faster interconnects to improve design performance. directdrive technology is a deterministic routing technology that ensures identical routing resource usage for any function regardless of placement in the device. the multitrack interconnect and directdrive technology simplify the integration stage of bloc k-based designing by eliminating the re-optimization cycles that typi cally follow desi gn changes and additions. the multitrack interconnect consists of row and column interconnects that span fixed distances. a routing structure with fixed length resources for all devices allows predictable and repeatable performance when migrating through different device densities. dedicated row interconnects route signals to and from labs, dsp blocks, and trimatrix memory in the same row. these row resources include: direct link interconnects between labs and adjacent blocks r4 interconnects traversing fo ur blocks to the right or left r24 row interconnects for high-speed access across the length of the device
altera corporation 2?55 may 2008 arria gx device handbook, volume 1 arria gx architecture the direct link interconnect allows a lab, dsp block, or trimatrix memory block to drive into the local in terconnect of its left and right neighbors and then back into itself, providing fast communication between adjacent labs and/or bloc ks without using row interconnect resources. the r4 interconnects span four labs, three labs and one m512 ram block, two labs and one m4k ram block, or two labs and one dsp block to the right or left of a source lab. these resources are used for fast row connections in a four-lab region . every lab has its own set of r4 interconnects to drive either left or right. figure 2?39 shows r4 interconnect connections from a lab. r4 interconnects can drive and be driven by dsp blocks and ram blocks and row ioes. for lab interfacing, a primary lab or lab neighbor can drive a given r4 interconnect. for r4 interconnects that drive to the right, the primary lab and right neighbor can drive onto the interconnect. for r4 interconnects that drive to the left, the primary lab and its left neighbor can drive onto the interconnect. r4 interconnects can drive other r4 interconnects to extend the range of labs they can drive. r4 interconnects can also drive c4 an d c16 interconnects for connections from one row to another. additional ly, r4 interconnects can drive r24 interconnects. figure 2?39. r4 interconnect connections notes (1) , (2) , (3) notes to figure 2?39 : (1) c4 and c16 interconnects can drive r4 interconnects. (2) this pattern is repeated for every lab in the lab row. (3) the labs in figure 2?39 show the 16 possible logical outputs per lab. primary lab (2) r4 interconnect driving left adjacent lab can drive onto another lab's r4 interconnect c4 and c16 column interconnects (1) r4 interconnect driving right lab neighbor lab neighbor
2?56 altera corporation arria gx device handbook, volume 1 may 2008 multitrack interconnect r24 row interconnects span 24 labs and provide the fastest resource for long row connections between labs, trimatrix memory, dsp blocks, and row ioes. the r24 row interconnects can cross m-ram blocks. r24 row interconnects drive to other row or column interconnects at every fourth lab and do not drive directly to lab local interconnects. r24 row interconnects drive lab local interconnects via r4 and c4 interconnects. r24 interconnects can drive r24, r4 , c16, and c4 interconnects. the column interconnect operates simi larly to the row interconnect and vertically routes signals to and from labs, trimatrix memory, dsp blocks, and ioes. each column of labs is served by a dedicated column interconnect. these column resources include: shared arithmetic chain interconnects in a lab carry chain interconnects in a lab and from lab to lab register chain interconnects in a lab c4 interconnects traversing a distance of four blocks in up and down direction c16 column interconnects for high -speed vertical routing through the device arria gx devices include an enhanced interconnect structure in labs for routing shared arithmetic chains and carry chains for efficient arithmetic functions. the register chain connection allows the register output of one alm to connect directly to the regist er input of the next alm in the lab for fast shift registers. these alm- to-alm connections bypass the local interconnect. the quartus ii compiler automatically takes advantage of these resources to improve utilization and performance. figure 2?40 shows shared arithmetic chain, carry chain, and register chain interconnects.
altera corporation 2?57 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?40. shared arithmetic chain, carry chain and r egister chai n interconnects c4 interconnects span four labs, m512, or m4k blocks up or down from a source lab. every lab has its own set of c4 interconnects to drive either up or down. figure 2?41 shows the c4 interc onnect connections from a lab in a column. c4 interconnects can drive and be driven by all types of architecture blocks, incl uding dsp blocks, trimatrix memory blocks, and column and row ioes. for lab interconnection, a primary lab or its lab neighbor can drive a given c4 interconnect. c4 interconnects can drive each other to extend their range as well as drive row interconnects for colu mn-to-column connections. alm 1 alm 2 alm 3 alm 4 alm 5 alm 6 alm 8 alm 7 carry chain & shared arithmetic chain routing to adjacent alm local interconnect register chain routing to adjacent alm's register inpu t local interconnect routing among alms in the lab
2?58 altera corporation arria gx device handbook, volume 1 may 2008 multitrack interconnect figure 2?41. c4 inte rconnect connections note (1) note to figure 2?41 : (1) each c4 interconnect can drive either up or down four rows. c4 interconnect drives local and r 4 interconnects up to four rows adjacent lab can drive onto neighboring lab's c4 interconnect c4 interconnect driving up c4 interconnect driving down lab row interconnect local interconnect
altera corporation 2?59 may 2008 arria gx device handbook, volume 1 arria gx architecture c16 column interconnects span a length of 16 labs and provide the fastest resource for long column connections between labs, trimatrix memory blocks, dsp blocks, and ioes. c16 interconnects can cross m-ram blocks and also drive to row and column interconnects at every fourth lab. c16 interconnects drive lab local interconnects via c4 and r4 interconnects and do not drive lab local interconnects directly. all embedded blocks communicate with the logic array similar to lab-to-lab interfaces. each block (that is, trimatrix memory and dsp blocks) connects to row and column interconnects and has local interconnect regions driven by row and column interconnects. these blocks also have direct link intercon nects for fast connections to and from a neighboring lab. all blocks are fed by the row lab clocks, labclk[5..0] . table 2?10 shows the arria gx device?s routing scheme. table 2?10. arria gx device routing scheme (part 1 of 2) source destination shared arithmetic chain carry chain register chain local interconnect direct link interconnect r4 interconnect r24 interconnect c4 interconnect c16 interconnect alm m512 ram block m4k ram block m-ram block dsp blocks column ioe row ioe shared arithmetic chain v carry chain v register chain v local interconnect vvvvvvv direct link interconnect v r4 interconnect v vvvv r24 interconnect vvvv c4 interconnect vvv c16 interconnect vvvv alm vvvvvv v m512 ram block vvv v m4k ram block vvv v m-ram block vvvv dsp blocks vv v
2?60 altera corporation arria gx device handbook, volume 1 may 2008 trimatrix memory trimatrix memory trimatrix memory consists of three types of ram blocks: m512, m4k, and m-ram. although thes e memory blocks are different, they can all implement various types of memory with or without parity, including true dual-port, simple dual-port, an d single-port ram, rom, and first-in first-out (fif o) buffers. table 2?11 shows the size and features of the different ram blocks. column ioe vvv row ioe vvvv table 2?10. arria gx device routing scheme (part 2 of 2) source destination shared arithmetic chain carry chain register chain local interconnect direct link interconnect r4 interconnect r24 interconnect c4 interconnect c16 interconnect alm m512 ram block m4k ram block m-ram block dsp blocks column ioe row ioe table 2?11. trimatrix memory features (part 1 of 2) memory feature m512 ram block (32 18 bits) m4k ram block (128 36 bits) m-ram block (4k 144 bits) maximum performance 345 mhz 380 mhz 290 mhz true dual-port memory vv simple dual-port memory vvv single-port memory vvv shift register vv rom vv fifo buffer vvv pack mode vv byte enable vvv address clock enable vv parity bits vvv mixed clock mode vvv memory initialization ( .mif ) vv
altera corporation 2?61 may 2008 arria gx device handbook, volume 1 arria gx architecture trimatrix memory provides three different memory sizes for efficient application support. the quartus ii software automatically partitions the user-defined memory into the embedded memory blocks using the most efficient size combinations. you can also manually assign the memory to a specific block size or a mixture of block sizes. m512 ram block the m512 ram block is a simple dual-port memory block and is useful for implementing small fifo buffers, dsp, and clock domain transfer applications. each block contains 576 ram bits (includin g parity bits). m512 ram blocks can be configured in the following modes: simple dual-port ram single-port ram fifo rom shift register when configured as ram or rom, you can use an initialization file to pre-load the memory contents. simple dual-port memory mixed width support vvv true dual-port memory mixed width support vv power-up conditions outputs cleare d outputs cleared outputs unknown register clears output registers output registers output registers mixed-port read-during-write unknown output/old data unknown output/old data unknown output configurations 512 1 256 2 128 4 64 8 64 9 32 16 32 18 4k 1 2k 2 1k 4 512 8 512 9 256 16 256 18 128 32 128 36 64k 8 64k 9 32k 16 32k 18 16k 32 16k 36 8k 64 8k 72 4k 128 4k 144 table 2?11. trimatrix memory features (part 2 of 2) memory feature m512 ram block (32 18 bits) m4k ram block (128 36 bits) m-ram block (4k 144 bits)
2?62 altera corporation arria gx device handbook, volume 1 may 2008 trimatrix memory m512 ram blocks can have different cl ocks on its inputs and outputs. the wren , datain , and write address registers are all clocked together from one of the two cl ocks feeding the block. the read address, rden , and output registers can be clocked by ei ther of the two cl ocks driving the block, allowing the ram block to oper ate in read and write or input and output clock modes. only the output register can be bypassed. the six labclk signals or local interconnect can drive the inclock , outclock , wren , rden , and outclr signals. because of the advanced interconnect between the lab and m512 ram bloc ks, alms can also control the wren and rden signals and the ram clock, clock enable, and asynchronous clear signals. figure 2?42 shows the m512 ram block control signal generation logic. figure 2?42. m512 ram block control signals the ram blocks in arria gx devices have local interconnects to allow alms and interconnects to drive into ram blocks. the m512 ram block local interconnect is driven by the r4, c4, and direct link interconnects from adjacent labs. the m512 ram bl ocks can communicate with labs on either the left or right side through these row interconnects or with lab columns on the left or right side with the column interconnects. the inclocken outclock inclock outclocken rden wren dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect outclr 6 local interconnect local interconnect
altera corporation 2?63 may 2008 arria gx device handbook, volume 1 arria gx architecture m512 ram block has up to 16 direct li nk input connections from the left adjacent labs and another 16 from the right adjacent lab. m512 ram outputs can also connect to left an d right labs through direct link interconnect. the m512 ram block has equal opportunity for access and performance to and from labs on either its left or right side. figure 2?43 shows the m512 ram block to logic array interface. figure 2?43. m512 ram block lab row interface m4k ram blocks the m4k ram block includes support for true dual-port ram. the m4k ram block is used to implement buffer s for a wide variety of applications such as storing processor code, im plementing lookup schemes, and implementing larger memory applications. each block contains 4,608 ram bits (including parity bits). m4k ram blocks can be configured in the following modes: true dual-port ram simple dual-port ram single-port ram dataout m4k ram block datain address 16 36 direct link interconnect from adjacent lab direct link interconnect to adjacent lab direct link interconnect from adjacent lab direct link interconnect to adjacent lab m4k ram block local interconnect region c4 interconnect r4 interconnect lab row clocks clocks byte enable control signals 6
2?64 altera corporation arria gx device handbook, volume 1 may 2008 trimatrix memory fifo rom shift register when configured as ram or rom, you can use an initialization file to pre-load the memory contents. m4k ram blocks allow for different cl ocks on their inputs and outputs. either of the two clocks feeding the block can clock m4k ram block registers ( renwe , address , byte enable , datain , and output registers). only the output register can be bypassed. the six labclk signals or local interconnects can drive the control signals for the a and b ports of the m4k ram block. alms can also control the clock_a , clock_b , renwe_a , renwe_b , clr_a , clr_b , clocken_a , and clocken_b signals, as shown in figure 2?44 . figure 2?44. m4k ram bl ock control signals the r4, c4, and direct link interconnects from adjacent labs drive the m4k ram block local interconnect. the m4k ram blocks can communicate with labs on either the left or righ t side through these row resources or with lab columns on either the right or left with the column clock_b clocken_a clock_a clocken_b aclr_b aclr_a dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect renwe_b renwe_a 6
altera corporation 2?65 may 2008 arria gx device handbook, volume 1 arria gx architecture resources. up to 16 direct link inpu t connections to the m4k ram block are possible from the left adjacent labs and another 16 are possible from the right adjacent lab. m4k ram bloc k outputs can also connect to left and right labs through direct link interconnect. figure 2?45 shows the m4k ram block to logic array interface. figure 2?45. m4k ram block lab row interface m-ram block the largest trimatrix memory block, the m-ram block, is useful for applications where a large volume of data must be stored on-chip. each block contains 589,824 ram bits (inc luding parity bits). the m-ram block can be configured in the following modes: true dual-port ram simple dual-port ram single-port ram fifo dataout m4k ram block datain address 16 36 direct link interconnect from adjacent lab direct link interconnect to adjacent lab direct link interconnect from adjacent lab direct link interconnect to adjacent lab m4k ram block local interconnect region c4 interconnect r4 interconnect lab row clocks clocks byte enable control signals 6
2?66 altera corporation arria gx device handbook, volume 1 may 2008 trimatrix memory you cannot use an initialization file to initialize the contents of a m-ram block. all m-ram block contents powe r up to an undefined value. only synchronous operation is supported in the m-ram block, so all inputs are registered. output registers can be bypassed. similar to all ram blocks, m-ram bloc ks can have different clocks on their inputs and outputs. either of the two clocks feeding the block can clock m-ram block registers ( renwe , address , byte enable, datain , and output registers). the output register can be bypassed. the six labclk signals or local interconnect can drive the control signals for the a and b ports of the m-ram block. alms can also control the clock_a , clock_b , renwe_a , renwe_b , clr_a , clr_b , clocken_a , and clocken_b signals, as shown in figure 2?46 . figure 2?46. m-ram block control signals the r4, r24, c4, and direct link interconnects from adjacent labs on either the right or left side drive the m-ram block local interconnect. up to 16 direct link input connections to the m-ram block are possible from the left adjacent labs and another 16 are possible from the right adjacent lab. m-ram block outputs can also connect to left and right labs through direct link interconnect. figure 2?47 shows an example floorplan for the ep1agx90 device and the location of the m-ram interfaces. figures 2?48 and 2?49 show the interface between the m-ram block and the logic array. clock_a clock_b clocken_a clocken_b aclr_a aclr_b dedicated row lab clocks local interconnect local interconnect local interconnect local interconnect renwe_a renwe_b 6 local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect local interconnect
altera corporation 2?67 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?47. ep1agx90 device with m-ram interface locations note (1) note to figure 2?47 : (1) the device shown is an ep1agx90 device. the number and position of m-ram blocks vary in other devices. dsp blocks dsp blocks m4k blocks m512 blocks labs m-ram block m-ram block m-ram block m-ram block m-ram blocks interface to labs on right and left sides for easy access to horizontal i/o pins
2?68 altera corporation arria gx device handbook, volume 1 may 2008 trimatrix memory figure 2?48. m-ram block lab row interface note (1) note to figure 2?48 : (1) only r24 and c16 interconnects cross the m-ram block boundaries. m-ram block port b port a row unit interface allows lab rows to drive port b datain, dataout, address and control signals to and from m-ram block row unit interface allows lab rows to drive port a datain, dataout, address and control signals to and from m-ram block labs in row m-ram boundary labs in row m-ram boundary lab interface blocks l0 l1 l2 l3 l4 l5 r0 r1 r2 r3 r4 r5
altera corporation 2?69 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?49. m-ram row unit interface to interconnect lab row interface block m-ram block 16 up to 28 datain_a[ ] addressa[ ] addr_ena_a renwe_a byteena a [ ] clocken_a clock_a aclr_a m-ram block to lab row interface block interconnect region r4 and r24 interconnects c4 interconnect direct link interconnects dataout_a[ ] up to 16
2?70 altera corporation arria gx device handbook, volume 1 may 2008 trimatrix memory table 2?12 shows the input and output data signal connections along with the address and control signal input connections to the row unit interfaces (l0 to l5 and r0 to r5). f for more information about trimatrix memory, refer to the tr i m a t r i x embedded memory blocks in arria gx devices chapter in volume 2 of the arria gx device handbook . table 2?12. m-ram row interface unit signals unit interface block input signals output signals l0 datain_a[14..0] byteena_a[1..0] dataout_a[11..0] l1 datain_a[29..15] byteena_a[3..2] dataout_a[23..12] l2 datain_a[35..30] addressa[4..0] addr_ena_a clock_a clocken_a renwe_a aclr_a dataout_a[35..24] l3 addressa[15..5] datain_a[41..36] dataout_a[47..36] l4 datain_a[56..42] byteena_a[5..4] dataout_a[59..48] l5 datain_a[71..57] byteena_a[7..6] dataout_a[71..60] r0 datain_b[14..0] byteena_b[1..0] dataout_b[11..0] r1 datain_b[29..15] byteena_b[3..2] dataout_b[23..12] r2 datain_b[35..30] addressb[4..0] addr_ena_b clock_b clocken_b renwe_b aclr_b dataout_b[35..24] r3 addressb[15..5] datain_b[41..36] dataout_b[47..36] r4 datain_b[56..42] byteena_b[5..4] dataout_b[59..48] r5 datain_b[71..57] byteena_b[7..6] dataout_b[71..60]
altera corporation 2?71 may 2008 arria gx device handbook, volume 1 arria gx architecture digital signal processing block the most commonly used dsp function s are finite impuls e response (fir) filters, complex fir filter s, infinite impulse response (iir) filters, fast fourier transform (fft) functions, direct cosine transform (dct) functions, and correlators. all of these use the multiplier as the fundamental building block. additionally, some applications need specialized operations such as mul tiply-add and multiply-accumulate operations. arria gx devices provide dsp blocks to meet the arithmetic requirements of these functions. each arria gx device has two to four columns of dsp blocks to efficiently implement dsp functions faster than alm-based implementations. each dsp block can be configur ed to support up to: eight 9 9-bit multipliers four 18 18-bit multipliers one 36 36-bit multiplier as indicated, the arria gx dsp block can support one 36 36-bit multiplier in a single dsp block and is true for any combination of signed, unsigned, or mixed sign multiplications.
2?72 altera corporation arria gx device handbook, volume 1 may 2008 digital signal processing block figures 2?50 shows one of the columns with surrounding lab rows. figure 2?50. dsp blocks arranged in columns dsp block column 4 lab rows dsp block
altera corporation 2?73 may 2008 arria gx device handbook, volume 1 arria gx architecture table 2?13 shows the number of dsp blocks in each arria gx device. dsp block multipliers can opti onally feed an adder/su btractor or accumulator in the block depending on the conf iguration, which makes routing to alms easier, saves alm routing resources, and increases performance because all connections and bl ocks are in the dsp block. additionally, dsp block input regist ers can efficiently implement shift registers for fir filter applications . dsp blocks support q1.15 format rounding and saturation. figure 2?51 shows a top-level diagram of the dsp block configured for 18 18-bit multiplier mode. table 2?13. dsp blocks in arria gx devices note (1) device dsp blocks total 9 9 multipliers total 18 18 multipliers total 36 36 multipliers ep1agx20 10 80 40 10 ep1agx35 14 112 56 14 ep1agx50 26 208 104 26 ep1agx60 32 256 128 32 ep1agx90 44 352 176 44 note to table 2?13 : (1) this list only shows functi ons that can fit into a single dsp block. multiple dsp blocks can support larger multiplication functions.
2?74 altera corporation arria gx device handbook, volume 1 may 2008 digital signal processing block figure 2?51. dsp block diagram fo r 18 18-bit configuration adder/ subtractor/ accumulator 2 adder/ subtractor/ accumulator 1 summation optional pipeline register stage multiplier stage output selection multiplexer optional output register stage clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena clrn dq ena optional serial shift register inputs from previous dsp block optional stage configurable as accumulator or dynamic adder/subtractor summation stage for adding four multipliers together optional input register stage with parallel input or shift register configuration optional serial shift register outputs to next dsp block in the column to multitrack interconnect
altera corporation 2?75 may 2008 arria gx device handbook, volume 1 arria gx architecture modes of operation the adder, subtractor, and accumulate functions of a dsp block have four modes of operation: simple multiplier multiply-accumulator two-multipliers adder four-multipliers adder table 2?14 shows the different number of multipliers possible in each dsp block mode according to size. these modes allow the dsp blocks to implement numerous applications for dsp including ffts, complex fir, fir, 2d fir filters, equalizers, iir, correlators, matrix multiplication, and many other functions. dsp blocks also support mixed modes and mixed multiplier sizes in the same block. for example, half of one dsp block can implement one 18 18-bit multiplier in multiply-accumulator mode, while the other half of the dsp block implements four 9 9-bit multipliers in simple multiplier mode. dsp block interface the arria gx device dsp block input registers can generate a shift register that can cascade down in the same dsp block column. dedicated connections between dsp blocks prov ide fast connections between shift register inputs to cascade shift register chains. you can cascade registers within multiple dsp blocks for 9 9- or 18 18-bit fir filters larger than four taps, with additional adder stag es implemented in alms. if the dsp block is configured as 36 36 bits, the adder, subtractor, or accumulator stages are implemented in alms. each dsp block can route the shift register chain out of the block to ca scade multiple columns of dsp blocks. table 2?14. multiplier size and configurations per dsp block dsp block mode 9 9 18 18 36 36 multiplier eight multipliers with eight product outputs four multipliers with four product outputs one multiplier with one product output multiply-accumulator ? two 52-bit multiply- accumulate blocks ? two-multipliers adder four two-multiplier adder (two 9 9 complex multiply) two two-multiplier adder (one 18 18 complex multiply) ? four-multipliers adder two four-multi plier adder one four-multiplier adder ?
2?76 altera corporation arria gx device handbook, volume 1 may 2008 digital signal processing block the dsp block is divided into four bl ock units that interface with four lab rows on the left and right. each block unit can be considered one complete 18 18-bit multiplier with 36 inputs and 36 outputs. a local interconnect region is associated with each dsp block. like a lab, this interconnect region can be fed with 16 direct link interconnects from the lab to the left or right of the dsp block in the same row. r4 and c4 routing resources can access the dsp block?s local interconnect region. the outputs also work si milarly to lab outputs as well. eighteen outputs from the dsp block can drive to th e left lab through direct link interconnects and 18 can drive to the right lab though direct link interconnects. all 36 outputs can drive to r4 and c4 routing interconnects. outputs can drive right- or left-column routing.
altera corporation 2?77 may 2008 arria gx device handbook, volume 1 arria gx architecture figures 2?52 and 2?53 show the dsp block interfaces to lab rows. figure 2?52. dsp block interconnect interface a1[17..0] b1[17..0] a2[17..0] b2[17..0] a3[17..0] b3[17..0] a4[17..0] b4[17..0] oa[17..0] ob[17..0] oc[17..0] od[17..0] oe[17..0] of[17..0] og[17..0] oh[17..0] dsp block r4, c4 & direct link interconnects r4, c4 & direct link interconnect s
2?78 altera corporation arria gx device handbook, volume 1 may 2008 digital signal processing block figure 2?53. dsp block interface to interconnect a bus of 44 control signals feeds the entire dsp block. these signals include clocks, asynchronous clears, clock enables, signed and unsigned control signals, addition and subtraction control signals, rounding and saturation control signals, and accumulator synchronous loads. the clock signals are routed from lab row cloc ks and are generated from specific lab rows at the dsp block interface. the lab row source for control signals, data inputs, an d outputs is shown in table 2?15 . lab lab row interface block dsp block row structure 16 oa[17..0] ob[17..0] a[17..0] b[17..0] dsp block to lab row interface block interconnect region 36 inputs per row 36 outputs per row r4 interconnect c4 interconnect direct link interconnect from adjacent lab direct link outputs to adjacent labs direct link interconnect from adjacent lab 36 36 36 36 control 12 16 18
altera corporation 2?79 may 2008 arria gx device handbook, volume 1 arria gx architecture f for more information about dsp blocks, refer to the dsp blocks in arria gx devices chapter in volume 2 of the arria gx device handbook . table 2?15. dsp block signal sources and destinations lab row at interface control signals generated data inputs data outputs 0 clock0 aclr0 ena0 mult01_saturate addnsub1_round/ accum_round addnsub1 signa sourcea sourceb a1[17..0] b1[17..0] oa[17..0] ob[17..0] 1 clock1 aclr1 ena1 accum_saturate mult01_round accum_sload sourcea sourceb mode0 a2[17..0] b2[17..0] oc[17..0] od[17..0] 2 clock2 aclr2 ena2 mult23_saturate addnsub3_round/ accum_round addnsub3 sign_b sourcea sourceb a3[17..0] b3[17..0] oe[17..0] of[17..0] 3 clock3 aclr3 ena3 accum_saturate mult23_round accum_sload sourcea sourceb mode1 a4[17..0] b4[17..0] og[17..0] oh[17..0]
2?80 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks plls and clock networks arria gx devices provide a hierarchical clock structure and multiple phase-locked loops (plls) with adva nced features. the large number of clocking resources in combination with the clock synthesis precision provided by enhanced and fast plls provides a complete clock management solution. global and hierarchical clocking arria gx devices provide 16 dedica ted global clock networks and 32 regional clock networks (eight per device quadrant). these clocks are organized into a hierarchical clock stru cture that allows for up to 24 clocks per device region with low skew and delay. this hierarchical clocking scheme provides up to 48 unique clock domains in arria gx devices. there are 12 dedicated clock pins ( clk[15..12] and clk[7..0] ) to drive either the global or regional clock networks. four clock pins drive each side of the device except the right side, as shown in figures 2?54 and 2?55 . internal logic and enhanced and fast pll outputs can also drive the global and regional cloc k networks. each global and regional clock has a clock control block, which controls the selection of the clock source and dynamically enables or disables th e clock to reduce power consumption. table 2?16 shows the global and regional clock features. global clock network these clocks drive throughout the entire device, feeding all device quadrants. global clock networks can be used as clock sources for all resources in the device ioes, alms, dsp blocks, and all memory blocks. these resources can also be used for control signals, such as clock enables and synchronous or asynch ronous clears fed from the external pin. the global clock networks can also be driv en by internal logic for internally table 2?16. global and regional clock features feature global clocks regional clocks number per device 16 32 number available per quadrant 16 8 sources clock pins, pll outputs, core routings, inter-transceiver clocks clock pins, pll outputs, core routings, inter-transceiver clocks dynamic clock source selection v dynamic enable/disable vv
altera corporation 2?81 may 2008 arria gx device handbook, volume 1 arria gx architecture generated global clocks and asynchrono us clears, clock enables, or other control signals with large fanout. figure 2?54 shows the 12 dedicated clk pins driving global clock networks. figure 2?54. global clocking regional clock network there are eight regional clock networks ( rclk[7..0] ) in each quadrant of the arria gx device that are driven by the dedicated clk[15..12] and clk[7..0] input pins, by pll outputs, or by internal logic. the regional clock networks provide the lowest clock delay and skew for logic contained in a single quadrant. the clk pins symmetrically drive the rclk networks in a part icular quadrant, as shown in figure 2?55 . global clock [15..0] clk[15..12] clk[3..0] clk[7..4] global clock [15..0]
2?82 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks figure 2?55. regional clocks dual-regional clock network a single source ( clk pin or pll output) can generate a dual-regional clock by driving two regi onal clock network lines in adjacent quadrants (one from each quadrant), which allows logic that spans multiple quadrants to utilize the same low skew clock. the routing of this clock signal on an entire side has approximately the same speed but slightly higher clock skew when compared with a clock signal that drives a single quadrant. internal logic-array routing can also drive a dual-regional clock. clock pins and enhanced pl l outputs on the top and bottom can drive horizontal dual-regional clocks. clock pins and fast pll outputs on the left and right can drive vertical dual-regional clocks, as shown in figure 2?56 . corner plls cannot drive dual-regional clocks. rclk [3..0] rclk [7..4] rclk [23..20] rclk [19..16] rclk [11..8] rclk [15..12] rclk [31..28] rclk [27..24] arria gx transceiver block arria gx transceiver block 12 6 11 5 clk[7..4] clk[15..12] clk[3..0] 1 7 2 8
altera corporation 2?83 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?56. dual-reg ional clocks combined resources within each quadrant, there are 24 distinct dedicated clocking resources consisting of 16 global clock line s and eight regional clock lines. multiplexers are used with these cloc ks to form buses to drive lab row clocks, column ioe clocks, or row ioe clocks. another multiplexer is used at the lab level to select three of the six row clocks to feed the alm registers in the lab (see figure 2?57 ). clock pins or pll clock outputs can drive dual-regional network clk[15..12] clk[7..4] clk[3..0] plls plls clock pins or pll clock outputs can drive dual-regional network clk[15..12] clk[7..4] clk[3..0]
2?84 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks figure 2?57. hierarchical clock networks per quadrant you can use the quartus ii software to control whether a clock input pin drives either a global, regional, or dual-regional clock network. the quartus ii software automatically se lects the clocking resources if not specified. clock control block each global clock, regional clock, and pll external cl ock output has its own clock control block. the co ntrol block has two functions: clock source selection (dynamic selection for global clocks) clock power-down (dynamic clock enable or disable) figures 2?58 through 2?60 show the clock control block for the global clock, regional clock, and pll ex ternal clock outp ut, respectively. clock [23..0] column i/o cell io_clk[7..0] lab row clock [5..0] row i/o cell io_clk[7..0] global clock network [15..0] regional clock network [7..0] clocks available to a quadrant or half-quadrant
altera corporation 2?85 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?58. global cloc k control blocks notes to figure 2?58 : (1) these clock select signals can be dynamically controlled th rough internal logic when the device is operating in user mode. (2) these clock select signals ca n only be set through a configuration file (sram object file [ .sof ] or programmer object file [ .pof ]) and cannot be dynamically contro lled during user mode operation. figure 2?59. regional clock control blocks notes to figure 2?59 : (1) these clock select signals can only be set through a configuration file (sof or pof) and cannot be dynamically controlled during user mode operation. (2) only the clkn pins on the top and bottom of the de vice feed to regional clock select. clkp pins pll counter outputs internal logic clkn pin enable/ disable gclk internal logic static clock select this multiplexer supports user-controllable dynamic switching clkselect[1..0] (1) (2 ) 2 2 2 clkp pin pll counter outputs internal logic clkn pin enable/ disable rclk internal logic static clock select (1 ) 2 (2)
2?86 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks figure 2?60. external pll output clock control blocks notes to figure 2?60 : (1) these clock select signals can only be set through a configuration file (sof or pof) and cannot be dynamically controlled during user mode operation. (2) the clock control block feed s to a multiplexer within the pll_out pin?s ioe. the pll_out pin is a dual-purpose pin. therefore, this multiplexer selects either an inte rnal signal or the output of the clock control block. for the global clock contro l block, clock source selection can be controlled either statically or dynamically. you has the option of statically selecting the clock source by us ing the quartus ii soft ware to set specific configuration bits in the configuration file ( sof or pof ) or you can control the selection dynamically by using in ternal logic to drive the multiplexer select inputs. when selecting statically, the clock source can be set to any of the inputs to the select multiplex er. when selecting the clock source dynamically, you can either select between two pll outputs (such as the c0 or c1 outputs from one pll), between two plls (such as the c0 / c1 clock output of one pll or the c0 / c1 c1ock output of the other pll), between two clock pins (such as clk0 or clk1 ), or between a combination of clock pins or pll outputs. for the regional and pll_out clock control block, clock source selection can only be controlled statically using configuration bits. any of the inputs to the cloc k select multiplexer can be set as the clock source. pll counter outputs (c[5..0]) enable/ disable pll_out pin internal logic static clock select ioe (1 ) static clock select (1) 6 internal logic (2)
altera corporation 2?87 may 2008 arria gx device handbook, volume 1 arria gx architecture arria gx clock networks can be disabled (powered down) by both static and dynamic approaches. when a clock net is powered down, all the logic fed by the clock net is in an off-state thereby reducing the overall power consumption of the device. global an d regional clock networks can be powered down statically through a sett ing in the configuration file (sof or pof). clock networks that are not used are automatically powered down through configuration bit sett ings in the configuration file generated by the quartus ii softwa re. the dynamic clock enable or disable feature allows the internal logic to control power up/down synchronously on gclk and rclk nets and pll_out pins. this function is independent of the pll and is appl ied directly on the clock network or pll_out pin, as shown in figures 2?58 through 2?60 . enhanced and fast plls arria gx devices provide robust clock management and synthesis using up to four enhanced plls and four fast plls. these plls increase performance and provide advanced cl ock interfacing and clock frequency synthesis. with features such as clock switchover, spread spectrum clocking, reconfigurable bandwidth, phase control, and reconfigurable phase shifting, the arria gx device?s enhanced plls provide you with complete control of your clocks and system timing. the fast plls provide general purpose clocking with multiplication and phase shifting as well as high-speed outputs for high-speed differential i/o support. enhanced and fast plls work together with the aria gx high-speed i/o and advanced clock architecture to prov ide significant improvements in system performance and bandwidth.
2?88 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks the quartus ii software enables th e plls and their features without requiring any external devices. table 2?17 shows the plls available for each arria gx device and their type. table 2?17. arria gx device pll availability notes (1) , (2) device fast plls enhanced plls 123 (3) 4 (3) 789 (3) 10 (3) 5 6 11 12 ep1agx20 vv vv ep1agx35 vv vv ep1agx50 (4) vv v v vvv v ep1agx60 (5) vv v v vvv v ep1agx90 vv v v vvv v notes to table 2?17 : (1) the global or regional clocks in a fast pll's transceive r block can drive the fast pll input. a pin or other pll must drive the global or regional source. the source cannot be dr iven by internally generated logic before driving the fast pll. (2) ep1agx20c, ep1agx35c/d, ep1agx50c and ep1agx60c/d de vices only have two fast plls (plls 1 and 2), but the connectivity from these two plls to the global and regional clock networks remains the same as shown in this table. (3) plls 3, 4, 9, and 10 are not available in arria gx devices. (4) 4 or 8 plls are available depending on c or d device and the package option. (5) 4or 8 plls are available dependin g on c, d, or e device option.
altera corporation 2?89 may 2008 arria gx device handbook, volume 1 arria gx architecture table 2?18 shows the enhanced pll and fast pll features in arria gx devices. table 2?18. arria gx pll features feature enhanced pll fast pll clock multiplication and division m /( n post-scale counter) (1) m /( n post-scale counter) (2) phase shift down to 125-ps increments (3) , (4) down to 125-ps increments (3) , (4) clock switchover vv (5) pll reconfiguration vv reconfigurable bandwidth vv spread spectrum clocking v programmable duty cycle vv number of internal clock outputs 6 4 number of external clock output s three differential/six single-ended (6) number of feedback clock inputs o ne single-ended or differential (7) , (8) notes to table 2?18 : (1) for enhanced plls, m , n range from 1 to 256 and post-scale counters range from 1 to 512 with 50% duty cycle. (2) for fast plls, m , and post-scale counters range from 1 to 32. the n counter ranges from 1 to 4. (3) the smallest phase shift is determined by the volt age controlled oscillator (v co ) period divided by 8. (4) for degree increments, arria gx devices can shift all output frequencies in increments of at least 45. smaller degree increments are possible depending on the frequency and divide parameters. (5) arria gx fast plls only support manual clock switchover. (6) fast plls can drive to any i/o pin as an external clock. for high-speed differential i/o pins, the device uses a data channel to generate txclkout . (7) if the feedback input is used, you will lose one (or two, if f bin is differential) external clock output pin. (8) every arria gx device has at least two enhanced plls wi th one single-ended or diff erential external feedback input per pll.
2?90 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks figure 2?61 shows a top-level diagram of the arria gx device and pll floorplan. figure 2?61. pll locations figures 2?62 and 2?63 shows global and regional clocking from the fast pll outputs and side clock pins. th e connections to the global and regional clocks from the fast pll outputs, internal drivers, and clk pins on the left side of the device are shown in table 2?19 . fpll7clk fpll8clk clk[3..0] 7 1 2 8 5 11 6 12 clk[7..4] clk[15..12] plls
altera corporation 2?91 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?62. global and regional clock connections from center cl ock pins and fast pll outputs note (1) note to figure 2?62 : (1) the global or regional clocks in a fast pll's quadrant can drive the fast pll input. a dedicated clock input pin or other pll must drive the global or regional source. the source cannot be driven by intern ally generated logic before driving the fast pll. c0 c1 c2 c3 fast pll 1 rclk0 rclk2 rclk1 rclk3 gclk0 gclk2 gclk1 gclk3 rclk4 rclk6 rclk5 rclk7 c0 c1 c2 c3 fast pll 2 logic array signal inpu t to clock network clk0 clk1 clk2 clk3
2?92 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks figure 2?63. global and regional clock connections from corner clock pins and fast pll outputs note (1) note to figure 2?63 : (1) the global or regional clocks in a fast pll's quadrant can drive the fast pll input. a dedicated clock input pin or other pll must drive the global or regional source. the source cannot be driven by intern ally generated logic before driving the fast pll. c0 c1 c2 c3 fast pll 7 rclk0 rclk2 rclk1 rclk3 gclk0 gclk2 gclk1 gclk3 rclk4 rclk6 rclk5 rclk7 c0 c1 c2 c3 fast pll 8 table 2?19. global and regional clock connections from left side cl ock pins and fast pll outputs (part 1 of 3) left side global & regional clock network connectivity clk0 clk1 clk2 clk3 rclk0 rclk1 rclk2 rclk3 rclk4 rclk5 rclk6 rclk7 clock pins clk0p vv v v clk1p vvvv clk2p vv v v clk3p vvvv drivers from internal logic
altera corporation 2?93 may 2008 arria gx device handbook, volume 1 arria gx architecture gclkdrv0 vv gclkdrv1 vv gclkdrv2 vv gclkdrv3 vv rclkdrv0 vv rclkdrv1 vv rclkdrv2 vv rclkdrv3 vv rclkdrv4 vv rclkdrv5 vv rclkdrv6 vv rclkdrv7 vv pll 1 outputs c0 vv vvvv c1 vv vvvv c2 vvvvvv c3 vvvvvv pll 2 outputs c0 vv vvvv c1 vv vvvv c2 vvvvvv c3 vvvvvv pll 7 outputs c0 vv v v c1 vvv v c2 vv v v c3 vv v v pll 8 outputs table 2?19. global and regional clock connections from left side cl ock pins and fast pll outputs (part 2 of 3) left side global & regional clock network connectivity clk0 clk1 clk2 clk3 rclk0 rclk1 rclk2 rclk3 rclk4 rclk5 rclk6 rclk7
2?94 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks c0 vv v v c1 vv v v c2 vv v v c3 vv v v table 2?19. global and regional clock connections from left side cl ock pins and fast pll outputs (part 3 of 3) left side global & regional clock network connectivity clk0 clk1 clk2 clk3 rclk0 rclk1 rclk2 rclk3 rclk4 rclk5 rclk6 rclk7
altera corporation 2?95 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?64 shows the global and regional clocking from enhanced pll outputs and top and bottom clk pins. figure 2?64. global and regional cloc k connections from top and bo ttom clock pins and enhanced pll outputs note (1) note to figure 2?64 : (1) if the design uses the feedback input, you will lose one (or two if fbin is differential) external clock output pin. g15 g14 g13 g12 rclk31 rclk30 rclk29 rclk28 rclk27 rclk26 rclk25 rclk24 g7 g6 g5 g4 rclk15 rclk14 rclk13 rclk12 rclk11 rclk10 rclk9 rclk8 pll 6 clk7 clk6 clk5 clk4 pll 12 pll 5 c0 c1 c2 c3 c4 c5 c0 c1 c2 c3 c4 c5 c0 c1 c2 c3 c4 c5 c0 c1 c2 c3 c4 c5 clk14 clk15 clk13 clk12 pll 11 pll11_fb pll5_out[2..0]p pll5_out[2..0]n pll11_out[2..0]p pll11_out[2..0]n pll12_out[2..0]p pll12_out[2..0]n pll6_out[2..0]p pll6_out[2..0]n pll5_fb pll12_fb pll6_fb global clocks regional clocks regional clocks
2?96 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks the connections to the global and region al clocks from the top clock pins and enhanced pll outputs are shown in table 2?20 . the connections to the clocks from the bottom clock pins are shown in table 2?21 . table 2?20. global and regional clock connections from top clock pins and enhanced pll outputs (part 1 of 2) top side global and regional clock network connectivity dllclk clk12 clk13 clk14 clk15 rclk24 rclk25 rclk26 rclk27 rclk28 rclk29 rclk30 rclk31 clock pins clk12p vvv v v clk13p vvv v v clk14p vvvv v clk15p vvv v v clk12n vvv clk13n vvv clk14n vvv clk15n vvv drivers from internal logic gclkdrv0 v gclkdrv1 v gclkdrv2 v gclkdrv3 v rclkdrv0 vv rclkdrv1 vv rclkdrv2 vv rclkdrv3 vv rclkdrv4 vv rclkdrv5 vv rclkdrv6 vv rclkdrv7 vv enhanced pll5 outputs c0 vvv v v c1 vvv v v
altera corporation 2?97 may 2008 arria gx device handbook, volume 1 arria gx architecture c2 vvvv v c3 vvv v v c4 v vvvv c5 v vvvv enhanced pll 11 outputs c0 vv v v c1 vv v v c2 vv v v c3 vv v v c4 vvvv c5 vvvv table 2?21. global and regional cloc k connections from bottom clock pins and enhanced pll outputs (part 1 of 2) bottom side global and regional clock network connectivity dllclk clk4 clk5 clk6 clk7 rclk8 rclk9 rclk10 rclk11 rclk12 rclk13 rclk14 rclk15 clock pins clk4p vvv v v clk5p vvv v v clk6p vvvv v clk7p vvvvv clk4n vvv clk5n vvv clk6n vvv clk7n vvv drivers from internal logic gclkdrv0 v gclkdrv1 v table 2?20. global and regional clock connections from top clock pins and enhanced pll outputs (part 2 of 2) top side global and regional clock network connectivity dllclk clk12 clk13 clk14 clk15 rclk24 rclk25 rclk26 rclk27 rclk28 rclk29 rclk30 rclk31
2?98 altera corporation arria gx device handbook, volume 1 may 2008 plls and clock networks gclkdrv2 v gclkdrv3 v rclkdrv0 vv rclkdrv1 vv rclkdrv2 vv rclkdrv3 vv rclkdrv4 vv rclkdrv5 vv rclkdrv6 vv rclkdrv7 vv enhanced pll 6 outputs c0 vvv v v c1 vvv v v c2 vvvv v c3 vvvvv c4 vvvvv c5 v vvvv enhanced pll 12 outputs c0 vv v v c1 vv v v c2 vv v v c3 vvvv c4 vvvv c5 vvvv table 2?21. global and regional cloc k connections from bottom clock pins and enhanced pll outputs (part 2 of 2) bottom side global and regional clock network connectivity dllclk clk4 clk5 clk6 clk7 rclk8 rclk9 rclk10 rclk11 rclk12 rclk13 rclk14 rclk15
altera corporation 2?99 may 2008 arria gx device handbook, volume 1 arria gx architecture enhanced plls arria gx devices contain up to four enhanced plls with advanced clock management features. these features include support for external clock feedback mode, spread-spectrum clocking, and counter cascading. figure 2?65 shows a diagram of the enhanced pll. figure 2?65. arria gx enhanced pll note (1) notes to figure 2?65 : (1) each clock source can come from any of the four clock pins that are physically located on the same side of the device as the pll. (2) if the feedback input is used, you will lose one (or two, if fbin is differential) external clock output pin. (3) each enhanced pll has three differential external cloc k outputs or six single-ended external clock outputs. (4) the global or regional clock input can be driven by an output from another pll, a pi n-driven dedicated global or regional clock, or through a clock control block provided th e clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. fast plls arria gx devices contain up to four fast plls with high-speed serial interfacing ability. fast plls offe r high-speed outputs to manage the high-speed differenti al i/o interfaces. figure 2?66 shows a diagram of the fast pll. /n charge pump vco /c2 /c3 /c4 /c0 8 4 6 4 global clocks /c1 lock detect to i/o or general routing inclk[3..0] fbin global or regional clock pfd /c5 from adjacent pll /m spread spectrum i/o buffers (3) (2) loop filter & filter post-scale counters clock switchover circuitry phase frequency detector v co phase selection selectable at each pll output port vco phase selection affecting all outputs shaded portions of the pll are reconfigurable regional clocks 8 6
2?100 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?66. arria gx device fast pll notes to figure 2?66 : (1) the global or regional clock input can be driven by an output from another pll, a pi n-driven dedicated global or regional clock, or through a clock control block provided th e clock control block is fed by an output from another pll or a pin-driven dedicated global or regional clock. an internally generated global signal cannot drive the pll. (2) in high-speed differential i/o suppo rt mode, this high-speed pll clock feeds the serializer/deserializer (serdes) circuitry. arria gx devices only support one rate of data tr ansfer per fast pll in high-speed differential i/o support mode. (3) this signal is a differential i/o serdes control signal. (4) arria gx fast plls only support manual clock switchover. f for more information about enhanc ed and fast plls, refer to the plls in arria gx devices chapter in volume 2 of the arria gx device handbook . refer to ?high-speed differential i/o with dpa support? on page 2?124 for more information about high-speed differential i/o support. i/o structure the arria gx ioes provide many features, including: dedicated differential and single-ended i/o buffers 3.3-v, 64-bit, 66-mhz pci compliance 3.3-v, 64-bit, 133-mhz pci-x 1.0 compliance joint test action group (jtag) boundary-scan test (bst) support on-chip driver series termination on-chip termination for differential standards programmable pull-up during configuration output drive strength control tri-state buffers bus-hold circuitry programmable pull-up resistors programmable input and output delays open-drain outputs dq and dqs i/o pins double data rate (ddr) registers charge pump vco c1 8 8 4 4 8 clock input pfd c0 m loop filter phase frequency detector vco phase selection selectable at each pll output port post-scale counters global clocks diffioclk1 load_en1 load_en0 diffioclk0 regional clocks to dpa block global or regional clock (1) global or regional clock (1) c2 k c3 n 4 clock switchover circuitry (4) shaded portions of the pll are reconfigurable (2) (2) (3) (3)
altera corporation 2?101 may 2008 arria gx device handbook, volume 1 arria gx architecture the ioe in arria gx devices contai ns a bidirectional i/o buffer, six registers, and a latch for a complete embedded bidirectional single data rate or ddr transfer. figure 2?67 shows the arria gx ioe structure. the ioe contains two input registers (plus a latch), two output registers, and two output enable registers. the desi gn can use both input registers and the latch to capture ddr input and both output registers to drive ddr outputs. additionally, the design can use the output enable (oe) register for fast clock-to-output enable timi ng. the negative edge-clocked oe register is used for ddr sdram interfacing. the quartus ii software automatically duplicates a single oe register that controls multiple output or bidirectional pins.
2?102 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?67. arria gx ioe structure the ioes are located in i/o blocks around the periphery of the arria gx device. there are up to four ioes per row i/o block and four ioes per column i/o block. row i/o blocks drive row, column, or direct link interconnects. column i/o blocks drive column interconnects. dq output register output a dq output register output b input a input b dq oe register oe dq oe register dq input register dq input register dq input latch logic array clk ena
altera corporation 2?103 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?68 shows how a row i/o block connects to the logic array. figure 2?68. row i/o block c onnection to the interconnect note to figure 2?68 : (1) the 32 data and control signals consist of eight data out lines: four lines each for ddr applications io_dataouta[3..0] and io_dataoutb[3..0] , four output enables io_oe[3..0] , four input clock enables io_ce_in[3..0] , four output clock enables io_ce_out[3..0] , four clocks io_clk[3..0] , four asynchronous clear and preset signals io_aclr/apreset[3..0] , and four synchronous clear and preset signals io_sclr/spreset[3..0] . 32 r4 & r24 interconnects c4 interconnect i/o block local interconnect 32 data & control signals from logic array (1) io_dataina[3..0] io_datainb[3..0] io_clk[7:0] horizontal i/o block contains up to four ioes direct link interconnect to adjacent lab direct link interconnect to adjacent lab lab local interconnect lab horizontal i/o block
2?104 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?69 shows how a column i/o bloc k connects to th e logic array. figure 2?69. column i/o block connection to the interconnect note to figure 2?69 : (1) the 32 data and control signals consist of eight data out lines: four lines each for ddr applications io_dataouta[3..0] and io_dataoutb[3..0] , four output enables io_oe[3..0] , four input clock enables io_ce_in[3..0] , four output clock enables io_ce_out[3..0] , four clocks io_clk[3..0] , four asynchronous clear and preset signals io_aclr/apreset[3..0] , and four synchronous clear and preset signals io_sclr/spreset[3..0] . 32 data & control signals from logic array (1) vertical i/o block contains up to four ioe s i/o block local interconnect io_dataina[3..0] io_datainb[3..0] r4 & r24 interconnects lab local interconnect c4 & c16 interconnects 32 lab lab lab io_clk[7..0] vertical i/o block
altera corporation 2?105 may 2008 arria gx device handbook, volume 1 arria gx architecture there are 32 control and data signals that feed each row or column i/o block. these control and data signals are driven from the logic array. the row or column ioe clocks, io_clk[7..0] , provide a dedicated routing resource for low-skew, high-speed cl ocks. i/o clocks are generated from global or regional clocks (refer to ?plls and clock networks? on page 2?80 ). figure 2?70 illustrates the signal pa ths through the i/o block. figure 2?70. signal path through the i/o block each ioe contains its own control signal selection for the following control signals: oe , ce_in , ce_out , aclr/apreset , sclr/spreset , clk_in , and clk_out . figure 2?71 illustrates the control signal selection. row or column io_clk[7..0] io_dataina io_datainb io_dataouta io_dataoutb io_oe oe ce_in ce_out io_ce_in aclr/apreset io_ce_out sclr/spreset io_sclr io_aclr clk_in io_clk clk_out control signal selection ioe to logic array from logic array to other ioes
2?106 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?71. control signal selection per ioe note (1) notes to figure 2?71 : (1) control signals ce_in , ce_out , aclr/apreset , sclr/spreset , and oe can be global signals even though their control selection multiplexers are not directly fed by the ioe_clk[7..0] signals. the ioe_clk signals can drive the i/o local interconnect, which then dri ves the control selection multiplexers. in normal bidirectional operation, you can use the input register for input data requiring fast setup times. the input register can have its own clock input and clock enable separate from the oe and output registers. the output register can be used for da ta requiring fast clock-to-output performance. you can use the oe register for fast clock-to-output enable timing. the oe and output register share the same clock source and the same clock enable source from the lo cal interconnect in the associated lab, dedicated i/o clocks, and the column and row interconnects. figure 2?72 shows the ioe in bidirectional configuration. clk_out ce_in clk_in ce_out aclr/apreset sclr/spreset dedicated i/o clock [7..0] local interconnect local interconnect local interconnect local interconnect local interconnect oe io_oe io_aclr local interconnect io_sclr io_ce_out io_ce_in io_clk
altera corporation 2?107 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?72. arria gx ioe in bidi rectional i/o configuration note (1) notes to figure 2?72 : (1) all input signals to the io e can be inverted at the ioe. (2) the optional pci clamp is only available on column i/o pins. the arria gx device ioe includes programmable delays that can be activated to ensure input ioe register -to-logic array register transfers, input pin-to-logic array register transf ers, or output ioe register-to-pin transfers. clrn/prn dq ena chip-wide reset oe register clrn/prn dq ena output register v ccio v ccio pci clamp (2) programmable pull-up resistor column, row, or local interconnect ioe_clk[7..0] bus-hold circuit oe register t co delay clrn/prn dq ena input register input pin to input register delay input pin to logic array delay drive strength control open-drain output on-chip termination sclr/spreset oe clkout ce_out aclr/apreset clkin ce_in output pin delay
2?108 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure a path in which a pin directly drives a register can require the delay to ensure zero hold time, whereas a path in which a pin drives a register through combinational logic may n ot require the delay. programmable delays exist for decreasing input-pin-to-logic-array and ioe input register delays. the quartus ii compiler can program these delays to automatically mini mize setup time while prov iding a zero hold time. programmable delays can increase the register-to-pin delays for output and/or output enable registers. programmable delays are no longer required to ensure zero hold times fo r logic array register-to-ioe register transfers. the quartus ii compiler can create zero hold time for these transfers. table 2?22 shows the programmable delays for arria gx devices. ioe registers in arria gx devices share the same source for clear or preset. you can program preset or clea r for each individual ioe. you can also program the registers to power up high or low after configuration is complete. if programmed to power up low, an asynchronous clear can control the registers. if programmed to power up high, an asynchronous preset can control the registers. this feature prevents the inadvertent activation of another device?s acti ve-low input upon power-up. if one register in an ioe uses a preset or cl ear signal, all registers in the ioe must use that same signal if they require preset or clear. additionally, a synchronous reset signal is available for the ioe registers. double data rate i/o pins arria gx devices have six registers in the ioe, which support ddr interfacing by clocking data on both positive and negative clock edges. the ioes in arria gx devices suppo rt ddr inputs, ddr outputs, and bidirectional ddr modes. when using the ioe for ddr inputs, the two input registers clock double rate in put data on alternating edges. an input latch is also used in the ioe for ddr input acquisition. the latch holds the data that is present during the clock high time s, allowing both bits of data to be synchronous with the same clock edge (either rising or falling). figure 2?73 shows an ioe configured for ddr input. figure 2?74 shows the ddr input timing diagram. table 2?22. arria gx devices programmable delay chain programmable delays quartus ii logic option input pin to logic array delay input delay from pin to internal cells input pin to input register delay inpu t delay from pin to input register output pin delay delay from output register to output pin output enable register t co delay delay to output enable pin
altera corporation 2?109 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?73. arria gx ioe in dd r input i/o configuration note (1) notes to figure 2?73 : (1) all input signals to the io e can be inverted at the ioe. (2) this signal connection is only al lowed on dedicated dq function pins. (3) this signal is for dedicated dqs function pins only. (4) the optional pci clamp is only available on column i/o pins. clrn/prn dq ena chip-wide reset input register clrn/prn dq ena input register vccio vccio pci clamp (4) programmable pull-up resistor column, row, or local interconnect dqs local bus (2) to dqs logic block (3) ioe_clk[7..0] bus-hold circuit clrn/prn dq ena latch i nput pin to input registerdelay sclr/spreset clkin aclr/apreset on-chip termination ce_in
2?110 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?74. input timing diagram in ddr mode when using the ioe for ddr output s, the two output registers are configured to clock two data paths from alms on risi ng clock edges. these output registers are multiplexed by the clock to drive the output pin at a 2 rate. one output register clocks the first bi t out on the clock high time, while the other output regist er clocks the second bit out on the clock low time. figure 2?75 shows the ioe configured for ddr output. figure 2?76 shows the ddr output timing diagram. data at input pin clk a0 b0 b1 a1 a1 b2 a2 a3 a2 a3 b1 a0 b0 b2 b3 b3 b4 input to logic array
altera corporation 2?111 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?75. arria gx ioe in dd r output i/o configuration notes (1) , (2) notes to figure 2?75 : (1) all input signals to the io e can be inverted at the ioe. (2) the tri-state buffer is active low. the ddio megafuncti on represents the tri-state buffer as active-high with an inverter at the oe register data port. (3) the optional pci clamp is only available on column i/o pins. clrn/prn dq ena chip-wide reset oe register clrn/prn dq ena oe register clrn/prn dq ena output register v ccio v ccio pci clamp (3) programmable pull-up resistor column, row, or local interconnect ioe_clk[7..0] bus-hold circuit oe register t co delay clrn/prn dq ena output register drive strength control open-drain output used for ddr, ddr2 sdram sclr/spreset aclr/apreset clkout output pin delay on-chip termination oe ce_out clk
2?112 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?76. output timing diagram in ddr mode the arria gx ioe operates in bidirectional ddr mode by combining the ddr input and ddr output configur ations. the negative-edge-clocked oe register holds the oe signal inactive until the falling edge of the clock to meet ddr sdram timing requirements. external ram interfacing in addition to the six i/o registers in each ioe, arria gx devices also have dedicated phase-shift circuitry fo r interfacing with external memory interfaces, including ddr, ddr2 sdram, and sdr sdram. in every arria gx device, the i/o banks at the top (banks 3 and 4) and bottom (banks 7 and 8) of the device suppo rt dq and dqs signals with dq bus modes of 4, 8/9, 16/18, or 32/36. table 2?23 shows the number of dq and dqs buses that are supported per device. from internal registers ddr output clk b1 a1 b2 a2 b3 a3 b4 a4 a2 a1 a3 a4 b1 b2 b3 b4 table 2?23. dqs and dq bus mode support (part 1 of 2) note (1) device package number of 4 groups number of 8/ 9 groups number of 16/ 18 groups number of 32/ 36 groups ep1agx20 484-pin fineline bga 2 0 0 0 ep1agx35 484-pin fineline bga 2 0 0 0 780-pin fineline bga 18 8 4 0 ep1agx50/60 484-pin fineline bga 2 0 0 0 780-pin fineline bga 18 8 4 0 1,152-pin fineline bga 36 18 8 4
altera corporation 2?113 may 2008 arria gx device handbook, volume 1 arria gx architecture a compensated delay element on ea ch dqs pin automatically aligns input dqs synchronization signals with the data window of their corresponding dq data signals. the dqs signals drive a local dqs bus in the top and bottom i/o banks. this dq s bus is an additional resource to the i/o clocks and is used to cloc k dq input registers with the dqs signal. the arria gx device has two phase-shif ting reference circ uits, one on the top and one on the bottom of the device. the circuit on the top controls the compensated delay elements for a ll dqs pins on the top. the circuit on the bottom controls the compensated delay elements for all dqs pins on the bottom. each phase-shifting reference circuit is driven by a system reference clock, which must have the same frequenc y as the dqs signal. clock pins clk[15..12]p feed phase circuitry on the top of the device and clock pins clk[7..4]p feed phase circuitry on the bottom of the device. in addition, pll clock outputs can also feed the phase-shifting reference circuits. figure 2?77 shows the phase-shift reference circuit control of each dqs delay shift on the top of the device. this same circuit is duplicated on the b ottom of the device. ep1agx90 1,152-pin fineline bga 36 18 8 4 note to table 2?23 : (1) numbers are preliminary until devices are available. table 2?23. dqs and dq bus mode support (part 2 of 2) note (1) device package number of 4 groups number of 8/ 9 groups number of 16/ 18 groups number of 32/ 36 groups
2?114 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure figure 2?77. dqs phase- shift circuitry notes (1) , (2) notes to figure 2?77 : (1) there are up to 18 pairs of dqs pins available on the to p or bottom of the arria gx device. there are up to 10 pairs on the right side and 8 pairs on the left side of the dqs phase-shift circuitry. (2) the ?t? module represents the dqs logic block. (3) clock pins clk[15..12]p feed phase-shift circuitry on the top of the device and clock pins clk[7..4]p feed the phase circuitry on the bottom of the device. you can also us e a pll clock output as a reference clock to phase shift circuitry. (4) you can only use pll 5 to feed the dqs phase-shift circ uitry on the top of the device and pll 6 to feed the dqs phase-shift circuitry on the bottom of the device. these dedicated circuits combined with enhanced pll clocking and phase-shift ability provide a complete hardware solution for interfacing to high-speed memory. f for more information about external memory interfaces, refer to the external memory interfaces in arria gx devices chapter in volume 2 of the arria gx device handbook . programmable drive strength the output buffer for each arria gx device i/o pin has a programmable drive strength control for certain i/o standards. the lvttl, lvcmos, sstl, and hstl standards have several levels of drive strength that the you can control. the default setting us ed in the quartus ii software is the maximum current strength setting that is used to achieve maximum i/o performance. for all i/o standards, the minimum setting is the lowest drive strength that guarantees the i oh /i ol of the standard. using minimum settings provides signal sl ew rate control to reduce system noise and signal overshoot. dqs pin dqs pin dqs pin dqs pin from pll 5 (4) clk[15..12]p (3) to ioe to ioe to ioe to ioe t t t t dqs phase-shift circuitry
altera corporation 2?115 may 2008 arria gx device handbook, volume 1 arria gx architecture table 2?24 shows the possible settings for i/o standards with drive strength control. open-drain output arria gx devices provide an optional open-drain (equivalent to an open collector) output for each i/o pin. this open-drain output enables the device to provide system-level control signals (for example, interrupt and write enable signals) that can be asserted by any of several devices. bus hold each arria gx device i/o pin provid es an optional bus-hold feature. bus-hold circuitry can hold the signal on an i/o pin at its last-driven state. since the bus-hold feature holds the last-driven state of the pin until the next input signal is present, an external pull-up or pull-down resistor is not needed to hold a signal level when the bus is tri-stated. table 2?24. programmable drive strength note (1) i/o standard i oh / i ol current strength setting (ma) for column i/o pins i oh / i ol current strength setting (ma) for row i/o pins 3.3-v lvttl 24, 20, 16, 12, 8, 4 12, 8, 4 3.3-v lvcmos 24, 20, 16, 12, 8, 4 8, 4 2.5-v lvttl/lvcmos 16, 12, 8, 4 12, 8, 4 1.8-v lvttl/lvcmos 12, 10, 8, 6, 4, 2 8, 6, 4, 2 1.5-v lvcmos 8, 6, 4, 2 4, 2 sstl-2 class i 12, 8 12, 8 sstl-2 class ii 24, 20, 16 16 sstl-18 class i 12, 10, 8, 6, 4 10, 8, 6, 4 sstl-18 class ii 20, 18, 16, 8 ? hstl-18 class i 12, 10, 8, 6, 4 12, 10, 8, 6, 4 hstl-18 class ii 20, 18, 16 ? hstl-15 class i 12, 10, 8, 6, 4 8, 6, 4 hstl-15 class ii 20, 18, 16 ? note to ta b l e 2 ? 2 4 : (1) the quartus ii software default current setting is the maximum setting for each i/o standard.
2?116 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure bus-hold circuitry also pulls undriven pins away from the input threshold voltage where noise can cause unintended high-frequency switching. you can select this featur e individually for each i/o pin. the bus-hold output drives no higher than v ccio to prevent overdriving signals. if the bus-hold feature is enabled, the programmable pull-up option cannot be used. disable the bu s-hold feature when the i/o pin has been configured for differential signals. bus-hold circuitry uses a resistor with a nominal resistance (rbh) of approximately 7 k to pull the signal level to the last-driven state. this information is provided for each v ccio voltage level. bus-hold circuitry is active only after configuration. when going into user mode, the bus-hold circuit captures the value on the pin present at the end of configuration. f for the specific sustaining current driven through this resistor and overdrive current used to identify the next-driven input level, refer to the dc & switching characteristics chapter in volume 1 of the arria gx device handbook . programmable pull-up resistor each arria gx device i/o pin pr ovides an optional programmable pull-up resistor during user mode. if you enable this feature for an i/o pin, the pull-up resistor (typically 25 k ) holds the output to the v ccio level of the output pin?s bank. advanced i/o standard support arria gx device ioes support the following i/o standards: 3.3-v lvttl/lvcmos 2.5-v lvttl/lvcmos 1.8-v lvttl/lvcmos 1.5-v lvcmos 3.3-v pci 3.3-v pci-x mode 1 lvds lvpecl (on input and output clocks only) differential 1.5-v hstl class i and ii differential 1.8-v hstl class i and ii differential sstl-18 class i and ii differential sstl-2 class i and ii 1.2-v hstl class i and ii 1.5-v hstl class i and ii 1.8-v hstl class i and ii sstl-2 class i and ii sstl-18 class i and ii
altera corporation 2?117 may 2008 arria gx device handbook, volume 1 arria gx architecture table 2?25 describes the i/o standards supported by arria gx devices. f for more information about the i/ o standards supported by arria gx i/o banks, refer to the selectable i/o standards in arria gx devices chapter in volume 2 of the arria gx device handbook . table 2?25. arria gx device s supported i/o standards i/o standard type input reference voltage (v ref ) (v) output supply voltage (v ccio ) (v) board termination voltage (v tt ) (v) lvttl single-ended - 3.3 - lvcmos single-ended - 3.3 - 2.5 v single-ended - 2.5 - 1.8 v single-ended - 1.8 - 1.5-v lvcmos single-ended - 1.5 - 3.3-v pci single-ended - 3.3 - 3.3-v pci-x mode 1 single-ended - 3.3 - lvds differential - 2.5 (3) - lvpecl (1) differential - 3.3 - hypertransport technology differential - 2.5 (3) - differential 1.5-v hstl class i and ii (2) differential 0.75 1.5 0.75 differential 1.8-v hstl class i and ii (2) differential 0.90 1.8 0.90 differential sstl-18 class i and ii (2) differential 0.90 1.8 0.90 differential sstl-2 class i and ii (2) differential 1.25 2.5 1.25 1.2-v hstl (4) voltage-referenced 0.6 1.2 0.6 1.5-v hstl class i and ii voltage-referenced 0.75 1.5 0.75 1.8-v hstl class i and ii voltage-referenced 0.9 1.8 0.9 sstl-18 class i and ii voltage-referenced 0.90 1.8 0.90 sstl-2 class i and ii voltage-referenced 1.25 2.5 1.25 notes to table 2?25 : (1) this i/o standard is only available on input and output column clock pins. (2) this i/o standard is only available on input clock pins and dqs pins in i/o banks 3, 4, 7, and 8, and output clock pins in i/o banks 9, 10, 11, and 12. (3) v ccio is 3.3 v when using this i/o standard in input and output column clock pins (in i/o banks 3, 4, 7, 8, 9, 10, 11, and 12). (4) 1.2-v hstl is only support ed in i/o banks 4, 7, and 8.
2?118 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure arria gx devices contain six i/o banks and four enhanced pll external clock output banks, as shown in figure 2?78 . the two i/o banks on the left of the device contain circuitry to support source-synchronous, high-speed differential i/o for lvds inputs and outputs. these banks support all arria gx i/o standards ex cept pci or pci-x i/o pins, and sstl-18 class ii and hstl output s. the top and bottom i/o banks support all single-ended i/o standards. additionally, enhanced pll external clock output banks allow clock output capabilities such as differential support for sstl and hstl. figure 2?78. arria gx i/o banks notes (1) , (2) notes to figure 2?78 : (1) figure 2?78 is a top view of the silicon die tha t corresponds to a reverse view for flip chip packages. it is a graphical representation only. (2) depending on the size of the device, differen t device members have different numbers of v ref groups. refer to the pin list and the quartus ii so ftware for exact locations. (3) banks 9 through 12 are enhanced pll external clock output banks. (4) horizontal i/o banks feature serdes and dpa circuitr y for high-speed differential i/o standards. for more information about differential i/o standards, refer to the high-speed differential i/o interfaces in arria gx devices chapter in volume 2 of the arria gx device handbook . i/o banks 3, 4, 9, and 11 support all single-ended i/o standards for both input and output operations. all differential i/o standards are supported for both input and output operations at i/o banks 9 and 11. i/o banks 7, 8 , 10 and 12 support all single-ended i/o standards for both input and output operations. all differential i/o standards are supported for both input and output operations at i/o banks 10 and 12. i/o banks 1 & 2 support lvttl, lvcmos, 2.5 v, 1. 8 v, 1.5 v, sstl-2, sstl-1 8 class i, lvds, pseudo-differential sstl-2 and pseudo-differential sstl-1 8 class i standards for both input and output operations. hstl, sstl-1 8 class ii, pseudo-differential hstl and pseudo-differential sstl-1 8 class ii standards are only supported for input operations. (4) dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 pll11 vref0b3 vref1b3 vref2b3 vref3b3 vref4b3 vref0b4 vref1b4 vref2b4 vref3b4 vref4b4 vref4b8 vref3b8 vref2b8 vref1b8 vref0b8 vref4b7 vref3b7 vref2b7 vref1b7 vref0b7 pll12 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 dqs 8 bank 11 vref3b2 vref4b2 vref0b1 vref2b1 vref3b1 vref4b1 pll1 pll2 bank 1 bank 2 bank 3 bank 4 bank 12 bank 8 bank 7 pll7 pll8 pll6 pll5 bank 9 bank 10 vref1b1 vref0b2 vref1b2 vref2b2 dqs 8 dqs 8 this i/o bank supports lvds and lvpecl standards for input clock operations. differential hstl and differential sstl standards are supported for both input and output operations. (3) this i/o bank supports lvds and lvpecl standards for input clock operation. differential hstl and differential sstl standards are supported for both input and output operations. (3) this i/o bank supports lvds and lvpecl standards for input clock operation. differential hstl and differential sstl standards are supported for both input and output operations. (3) this i/o bank supports lvds and lvpecl standards for input clock operation. differential hstl and differential sstl standards are supported for both input and output operations. (3) transmitter: bank 13 receiver: bank 13 refclk: bank 13 transmitter: bank 14 receiver: bank 14 refclk: bank 14 transmitter: bank 15 receiver: bank 15 refclk: bank 15
altera corporation 2?119 may 2008 arria gx device handbook, volume 1 arria gx architecture each i/o bank has its own vccio pins. a single de vice can support 1.5-, 1.8-, 2.5-, and 3.3-v interfaces ; each bank can support a different v ccio level independently. each bank also has dedicated vref pins to support the voltage-referenced standards (such as sstl-2). each i/o bank can support multiple standards with the same v ccio for input and output pins. each bank can support one v ref voltage level. for example, when v ccio is 3.3 v, a bank can support lvttl, lvcmos, and 3.3-v pci for inputs and outputs. on-chip termination arria gx devices provide differenti al (for the lvds technology i/o standard) and series on-chip term ination to reduce reflections and maintain signal integrity. there is no calibration support for these on-chip termination resistors. on-chip termination simplifies board design by minimizing the number of external termination resistors required. termination can be placed inside the package, eliminating small stubs that can still le ad to reflections. arria gx devices provide two types of termination: differential termination (r d ) series termination (r s )
2?120 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure table 2?26 shows the arria gx on-chip termination support per i/o bank. differential on-chip termination arria gx devices support internal diff erential termination with a nominal resistance value of 100 for lvds input receiver buffers. lvpecl input signals (supported on clock pins on ly) require an exte rnal termination resistor. differential on-chip termination is supported across the full range of supported differential data rates as shown in the high-speed i/o specifications section of the dc & switching characteristics chapter in volume 1 of the arria gx device handbook . table 2?26. on-chip termination support by i/o banks on-chip termination s upport i/o standard support top and bottom banks (3, 4, 7, 8) left bank (1, 2) series termination 3.3-v lvttl vv 3.3-v lvcmos vv 2.5-v lvttl vv 2.5-v lvcmos vv 1.8-v lvttl vv 1.8-v lvcmos vv 1.5-v lvttl vv 1.5-v lvcmos vv sstl-2 class i and ii vv sstl-18 class i v v sstl-18 class ii v 1.8-v hstl class i vv 1.8-v hstl class ii v 1.5-v hstl class i vv 1.2-v hstl v differential termination (1) lv d s v hypertransport technology v note to table 2?26 : (1) clock pins clk1 and clk3 , and pins fpll[7..8]clk do not support differential on-chip termination. clock pins clk0 and clk2 , do support differential on-chip terminatio n. clock pins in the top and bottom banks ( clk[4..7, 12..15] ) do not support differential on-chip termination.
altera corporation 2?121 may 2008 arria gx device handbook, volume 1 arria gx architecture f for more information about differential on-chip termination, refer to the high-speed differential i/o interfaces with dpa in arria gx devices chapter in volume 2 of the arria gx device handbook . for more information about tolerance specifications for differential on-chip termination, refer to the dc & switching characteristics chapter in volume 1 of the arria gx device handbook . on-chip series termination arria gx devices support driver impedance matching to provide the i/o driver with controlled output im pedance that closely matches the impedance of the transmission line . as a result, reflections can be significantly reduced. arria gx devices support on-chip series termination for single-ended i/o standards with typical r s values of 25 and 50 . once matching impedance is selected, current drive strength is no longer selectable. table 2?26 shows the list of output standards that support on-chip series termination. f for more information about series on-chip termination supported by arria gx devices, refer to the selectable i/o standards in arria gx devices chapter in volume 2 of the arria gx device handbook . f for more information about toleran ce specifications for on-chip termination without calibration, refer to the dc & switching characteristics chapter in volume 1 of the arria gx device handbook . multivolt i/o interface the arria gx architecture supports the multivolt i/o interface feature that allows arria gx devices in all pa ckages to interface with systems of different supply voltages. arria gx vccint pins must always be connected to a 1.2-v power supply. with a 1.2-v v ccint level, input pins are 1.2-, 1.5-, 1.8-, 2.5- , and 3.3-v tolerant. the vccio pins can be connected to either a 1.2-, 1.5-, 1. 8-, 2.5-, or 3.3-v power supply, depending on the output requirements. the output levels are compatible with systems of the same voltage as the power supply (for example, when vccio pins are connected to a 1.5-v po wer supply, the output levels are compatible with 1.5-v systems). arria gx vccpd power pins must be connected to a 3.3-v power supply. th ese power pins are used to supply the pre-driver power to the output buffers, which increases the performance of the output pins. the vccpd pins also power configuration input pins and jtag input pins.
2?122 altera corporation arria gx device handbook, volume 1 may 2008 i/o structure table 2?27 summarizes arria gx multivolt i/o support. the tdo and nceo pins are powered by v ccio of the bank that they reside. tdo is in i/o bank 4 and nceo is in i/o bank 7. ideally, the v cc supplies for the i/o buffers of any two connected pins are at the same voltage level. this may not always be possible depending on the v ccio level of tdo and nceo pins on master devices and the configuration voltage level chosen by v ccsel on slave devices. master and slave devices can be in any position in the chain. master in dicates that it is driving out tdo or nceo to a slave device. for multi-device passive configuration schemes, the nceo pin of the master device will be driving the nce pin of the slave device. the vccsel pin on the slave device selects which input buffer is used for nce . when v ccsel is logic high, it selects the 1.8-v/1.5-v buffer powered by v ccio . when v ccsel is logic low it selects the 3.3-v/2.5-v input buffer powered by v ccpd . the ideal case is to have the v ccio of the nceo bank in a master device match the v ccsel settings for the nce input buffer of the slave device it is conne cted to, but that may not be possible depending on the application. table 2?27. arria gx multivolt i/o support note (1) v ccio (v) input signal (v) output signal (v) 1.2 1.5 1.8 2.5 3.3 1.2 1.5 1.8 2.5 3.3 5.0 1.2 (4) v (2) v (2) v (2) v (2) v (4) 1.5 (4) vvv (2) v (2) v (3) v 1.8 (4) v vv (2) v (2) v (3) v (3) v 2.5 (4) vvv (3) v (3) v (3) v 3.3 (4) v vv (3) v (3) v (3) v (3) vv notes to ta b l e 2 ? 2 7 : (1) to drive inputs higher than v ccio but less than 4.0 v, disable the pci clamping diode and select the allow lvttl and lvcmos input levels to overdrive input buffer option in the quartus ii software. (2) the pin current may be slightly higher than the default value. you must verify that the driving device?s v ol maximum and v oh minimum voltages do not violate the applicable arria gx v il maximum and v ih minimum voltage specifications. (3) although v ccio specifies the voltage necessary for the ar ria gx device to drive out, a receiving device powered at a different leve l can still interface with the arria gx device if it has inputs that tolerate the v ccio value. (4) arria gx devices support 1.2-v hstl. they do not support 1.2-v lvttl and 1.2-v lvcmos.
altera corporation 2?123 may 2008 arria gx device handbook, volume 1 arria gx architecture table 2?28 contains board design recommendations to ensure that nceo can successfully drive nce for all power supply combinations. for jtag chains, the tdo pin of the first device will be driving the tdi pin of the second device in the chain. the v ccsel input on jtag input i/o cells ( tck , tms , tdi , and trst ) is internally hardwired to gnd selecting the 3.3-v/2.5-v input buffer powered by v ccpd . the ideal case is to have the v ccio of the tdo bank from the first device to match the v ccsel settings for tdi on the second device, but that may not be possible depending on the application. table 2?29 contains board design recommendations to ensure proper jtag chain operation. table 2?28. board design recommendations for nceo and nce input buffer power nce input buffer power in i/o bank 3 arria gx nceo v ccio voltage level in i/o bank 7 v ccio = 3.3 v v ccio = 2.5 v v ccio = 1.8 v v ccio = 1.5 v v ccio = 1.2 v vccsel high (v ccio bank 3 = 1.5 v) v (1) , (2) v (3) , (4) v (5) vv vccsel high (v ccio bank 3 = 1.8 v) v (1) , (2) v (3) , (4) vv level shifter required vccsel low (nce powered by v ccpd = 3.3 v) v v (4) v (6) level shifter required level shifter required notes to table 2?28 : (1) input buffer is 3.3-v tolerant. (2) the nceo output buffer meets v oh (min) = 2.4 v. (3) input buffer is 2.5-v tolerant. (4) the nceo output buffer meets v oh (min) = 2.0 v. (5) input buffer is 1.8-v tolerant. (6) an external 250- pull-up resistor is not required, but recommended if signal levels on the board are not optimal. table 2?29. supported tdo/tdi voltage combinations (part 1 of 2) device tdi input buffer power arria gx tdo v ccio voltage level in i/o bank 4 v ccio = 3.3 v v ccio = 2.5 v v ccio = 1.8 v v ccio = 1.5 v v ccio = 1.2 v arria gx always v ccpd (3.3 v) v (1) v (2) v (3) level shifter required level shifter required
2?124 altera corporation arria gx device handbook, volume 1 may 2008 high-speed differential i/o with dpa support high-speed differential i/o with dpa support arria gx devices contain dedicated ci rcuitry for supporting differential standards at speeds up to 840 mbps. lvds differential i/o standards are supported in the arria gx device. in addition, the lvpe cl i/o standard is supported on input and output cl ock pins on the top and bottom i/o banks. the high-speed differential i/o circuitry supports the following high-speed i/o interconnect standards and applications: spi-4 phase 2 (pos-phy level 4) sfi-4 parallel rapidio standard there are two dedicated high-speed plls (pll1 and pll2) in the ep1agx20 and ep1agx35 devices and up to four dedicated high-speed plls (pll1, pll2, pll7, and pll8 ) in the ep1agx50, ep1agx60, and ep1agx90 devices to multiply reference clocks and drive high-speed differential serdes channels in i/o banks 1 and 2. tables 2?30 through 2?34 show the number of channels that each fast pll can clock in each of the arria gx devices. in tables 2?30 through 2?34 the first row for each transmitter or re ceiver provides the maximum number of channels that each fast pll can drive in its adjacent i/o bank (i/o bank 1 or i/o bank 2). the second row shows the maximum number of non- arria gx vcc = 3.3 v v (1) v (2) v (3) level shifter required level shifter required vcc = 2.5 v v (1) , (4) v (2) v (3) level shifter required level shifter required vcc = 1.8 v v (1) , (4) v (2) , (5) v level shifter required level shifter required vcc = 1.5 v v (1) , (4) v (2) , (5) v (6) vv notes to table 2?29 : (1) the tdo output buffer meets v oh (min) = 2.4 v. (2) the tdo output buffer meets v oh (min) = 2.0 v. (3) an external 250- pull-up resistor is not required, but re commended if signal levels on the board are not optimal. (4) input buffer must be 3.3-v tolerant. (5) input buffer must be 2.5-v tolerant. (6) input buffer must be 1.8-v tolerant. table 2?29. supported tdo/tdi voltage combinations (part 2 of 2) device tdi input buffer power arria gx tdo v ccio voltage level in i/o bank 4 v ccio = 3.3 v v ccio = 2.5 v v ccio = 1.8 v v ccio = 1.5 v v ccio = 1.2 v
altera corporation 2?125 may 2008 arria gx device handbook, volume 1 arria gx architecture channels that each fast pll can dr ive in both i/o banks (i/o bank 1 and i/o bank 2). for exam ple, in the 780-pin fineline bga ep1agx20 device, pll 1 can drive a maximum of 16 transmitter channels in i/o bank 2 or a maximum of 29 transmitte r channels in i/o banks 1 and 2. the quartus ii software can also me rge receiver and transmitter plls when a receiver is driving a transmit ter. in this case, one fast pll can drive both the maximum numbers of receiver and transmitter channels. 1 for more details, refer to the differential pin placement guidelines section in the high-speed differential i/o interfaces with dpa in arria gx devices chapter in volume 2 of the arria gx device handbook . table 2?30. ep1agx20 device differential channels note (1) package transmitter/rec eiver total channels center fast plls pll1 pll2 484-pin fineline bga transmitter 29 16 13 13 16 receiver 31 17 14 14 17 780-pin fineline gba transmitter 29 16 13 13 16 receiver 31 17 14 14 17 note to table 2?30 : (1) the total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels. table 2?31. ep1agx35 device differential channels note (1) package transmitter/receiver total channels center fast plls pll1 pll2 484-pin fineline bga transmitter 29 16 13 13 16 receiver 31 17 14 14 17
2?126 altera corporation arria gx device handbook, volume 1 may 2008 high-speed differential i/o with dpa support 780-pin fineline bga transmitter 29 16 13 13 16 receiver 31 17 14 14 17 note to table 2?31 : (1) the total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels. table 2?32. ep1agx50 device differential channels note (1) package transmitter/receiver total channels center fast plls corner fast plls pll1 pll2 pll7 pll8 484-pin fineline bga transmitter 29 16 13 ? ? 13 16 ? ? receiver 31 17 14 ? ? 14 17 ? ? 780-pin fineline bga transmitter 29 16 13 ? ? 13 16 ? ? receiver 31 17 14 ? ? 14 17 ? ? 1,152-pin fineline bga transmitter 42 21 21 21 21 21 21 ? ? receiver 42 21 21 21 21 21 21 ? ? note to table 2?32 : (1) the total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels. table 2?31. ep1agx35 device differential channels note (1) package transmitter/receiver total channels center fast plls pll1 pll2
altera corporation 2?127 may 2008 arria gx device handbook, volume 1 arria gx architecture dedicated circuitry with dpa support arria gx devices support source-synchronous interfacing with lvds signaling at up to 840 mbps. arria gx devices can transmit or receive serial channels alon g with a low-speed or high-speed clock. table 2?33. ep1agx60 device differential channels note (1) package transmitter/receiver total channels center fast plls corner fast plls pll1 pll2 pll7 pll8 484-pin fineline bga transmitter 29 16 13 ? ? 13 16 ? ? receiver 31 17 14 ? ? 14 17 ? ? 780-pin fineline bga transmitter 29 16 13 ? ? 13 16 ? ? receiver 31 17 14 ? ? 14 17 ? ? 1,152-pin fineline bga transmitter 42212121 21 21 21 ? ? receiver 42 21 21 21 21 21 21 ? ? note to table 2?33 : (1) the total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels. table 2?34. ep1agx90 device differential channels note (1) package transmitter/receiver total channels center fast plls corner fast plls pll1 pll2 pll7 pll8 1,152-pin fineline bga transmitter 45232223 22 22 23 ? ? receiver 47 23 24 23 24 24 23 ? ? note to table 2?34 : (1) the total number of receiver channels includes the four non-dedicated clock channels that can be optionally used as data channels.
2?128 altera corporation arria gx device handbook, volume 1 may 2008 high-speed differential i/o with dpa support the receiving device pll multiplies the clock by an integer factor w = 1 through 32. the serdes factor j dete rmines the parallel data width to deserialize from receivers or to serialize for transmitters. the serdes factor j can be set to 4, 5, 6, 7, 8, 9, or 10 and does not have to equal the pll clock-multiplication w value. a design using the dynamic phase aligner also supports all of these j factor valu es. for a j factor of 1, the arria gx device bypasses the serdes block. for a j factor of 2, the arria gx device bypasses the serdes block, and the ddr input and output registers are used in the ioe. figure 2?79 shows the block diagram of the arria gx transmitter channel. figure 2?79. arria gx transmitter channel each arria gx receiver channel features a dpa block for phase detection and selection, a serdes, a synchronizer , and a data realigner circuit. you can bypass the dynamic phase aligner without affecting the basic source-synchronous operation of th e channel. in addition, you can dynamically switch between using th e dpa block or bypassing the block via a control signal from the logic array. fast pll refclk diffioclk dedicated transmitter interface local interconnect 10 + ? up to 840 mbps load_en regional or global clock data from r4, r24, c4, or direct link interconnect 10
altera corporation 2?129 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?80 shows the block diag ram of the arria gx receiver channel. figure 2?80. gx receiver channel an external pin or global or regional clock can drive the fast plls, which can output up to three clocks: two mu ltiplied high-speed clocks to drive the serdes block and/or external pin, and a low-speed clock to drive the logic array. in addition, eight phase-shifted clocks from the v co can feed to the dpa circuitry. f for more information ab out fast pll, see the plls in arria gx devices chapter in volume 2 of the arria gx device handbook . the eight phase-shifted clocks from th e fast pll feed to the dpa block. the dpa block selects the closest phase to the center of the serial data eye to sample the incoming data. this allows the source-synchronous circuitry to capture incoming data correctly regardless of channel-to-channel or clock-to-channel skew. the dpa block locks to a phase closest to the serial data ph ase. the phase-aligned dpa clock is used to write the data into the synchronizer. the synchronizer sits between the dpa block and the data realignment and serdes circuitry. since every channel utilizing the dpa block can have a different phase selected to sa mple the data, the synchronizer is needed to synchronize th e data to the high-speed clock domain of the data realignment and the serdes circuitry. + ? fast pll refclk load_en diffioclk regional or global clock data to r4, r24, c4, or direct link interconnect up to 840 mbps 10 dedicated receiver interface eight phase clocks data retimed_data dpa_clk dpa synchronizer 8 dq data realignment circuitry
2?130 altera corporation arria gx device handbook, volume 1 may 2008 high-speed differential i/o with dpa support for high-speed source synchronous interfaces such as pos-phy 4 and the parallel rapidio standard, the source synchronous cloc k rate is not a byte- or serdes-rate multiple of th e data rate. byte alignment is necessary for these protocols since th e source synchronous clock does not provide a byte or word boundary since the clock is one half the data rate, not one eighth. the arria gx device?s high-speed differential i/o circuitry provides dedicated da ta realignment circuitry for user-controlled byte boundary shifti ng. this simplifies designs while saving alm resources. you can use an alm-based state machine to signal the shift of receiver byte bo undaries until a specified pattern is detected to indica te byte alignment. fast pll and channel layout the receiver and transmitter channels are interleaved such that each i/o bank on the left side of the device has one receiver channel and one transmitter channel per lab row. figure 2?81 shows the fast pll and channel layout in the ep1agx20c , ep1agx35c/d, ep1agx50c/d and ep1agx60c/d devices. figure 2?82 shows the fast pll and channel layout in ep1agx60e and ep1agx90e devices. figure 2?81. fast pll and channel layout in the ep1a gx20c, ep1agx35c/d, ep1a gx50c/d, ep1agx60c/d devices note (1) note to figure 2?81 : (1) see table 2?30 for the number of channels each device supports. lvds clock dpa clock fast pll 1 fast pll 2 lvds clock dpa clock quadrant quadrant quadrant quadrant 4 4 4 2 2
altera corporation 2?131 may 2008 arria gx device handbook, volume 1 arria gx architecture figure 2?82. fast pll and channel layout in the ep1agx60e and ep1agx90e devices note (1) note to figure 2?82 : (1) see tables 2?30 through 2?34 for the number of channe ls each device supports. referenced documents this chapter references the following documents: arria gx transceiver architecture chapter in volume 2 of the arria gx device handbook arria gx transceiver protocol support and additional features chapter in volume 2 of the arria gx device handbook dc & switching characteristics chapter in volume 1 of the arria gx device handbook dsp blocks in arria gx devices chapter in volume 2 of the arria gx device handbook external memory interfaces in arria gx devices chapter in volume 2 of the arria gx device handbook high-speed differential i/o interfaces with dpa in arria gx devices chapter in volume 2 of the arria gx device handbook plls in arria gx devices chapter in volume 2 of the arria gx device handbook lvds clock dpa clock fast pll 1 fast pll 2 lvds clock dpa clock fast pll 7 quadrant quadrant quadrant quadrant 4 4 2 4 2 2 fast pll 8 2
2?132 altera corporation arria gx device handbook, volume 1 may 2008 document revision history selectable i/o standards in arria gx devices chapter in volume 2 of the arria gx device handbook specifications and addi tional information chapter in volume 2 of the arria gx device handbook trimatrix embedded memory bl ocks in arria gx devices chapter in volume 2 of the arria gx device handbook document revision history table 2?35 shows the revision history for this chapter. table 2?35. document revision history date and document version c hanges made summary of changes may 2008, v1.3 added ?reverse serial pre-cdr loopback? and ?calibration block? sub-sections to ?transmitter path? section. ? august 2007, v1.2 added ?referenced documents? section. ? june 2007, v1.1 added gige information. ? may 2007 v1.0 initial release. ?
altera corporation 3?1 may 2008 3. configuration and testing introduction all arria tm gx devices provide joint test action group (jtag) boundary-scan test (bst) circuitry that complies with the ieee std. 1149.1. you can perform jtag bounda ry-scan testing either before or after, but not during configuration. arria gx devices can also use the jtag port for configuration with the quartus ? ii software or hardware using either jam files ( .jam ) or jam byte-code files ( .jbc ). this chapter contains the following sections: ?ieee std. 1149.1 jtag bounda ry-scan support? on page 3?1 ?signaltap ii embedded lo gic analyzer? on page 3?4 ?configuration? on page 3?4 ?temperature sensing diode? on page 3?10 ?automated single event upse t (seu) detection? on page 3?12 ieee std. 1149.1 jtag boundary- scan support arria gx devices support i/o element (ioe) standard setting reconfiguration through the jtag bst chain. the jtag chain can update the i/o standard for all input and outp ut pins any time before or during user-mode through the config_io instruction. you can use this capability for jtag testing before configuration when some of the arria gx pins drive or receive from other devices on the board using voltage-referenced standards. because the arria gx device may not be configured before jtag testing, the i/o pins may not be configured for appropriate electrical standards for chip-to-chip communication. programming these i/o standards via jt ag allows you to fully test the i/o connections to other devices. a device operating in jtag mode uses four required pins, tdi , tdo , tms , and tck , and one optional pin, trst . the tck pin has an internal weak pull-down resistor, while the tdi , tms , and trst pins have weak internal pull-up resistors. the jtag input pins are powered by the 3.3-v v ccpd pins. the tdo output pin is powered by the v ccio power supply in i/o bank 4. arria gx devices also use the jtag port to monitor the logic operation of the device with the signaltap ? ii embedded logic analyzer. arria gx devices support the jtag instructions shown in table 3?1 . agx51003-1.3
3?2 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing 1 arria gx, stratix ? , stratix ii, stratix gx, stratix ii gx, cyclone ? ii, and cyclone devices must be within the first 17 devices in a jtag chain. all of these devices have the same jtag controller. if any of the stra tix, arria gx, cyclone, and cyclone ii devices are in the 18th or further position, they will fail configuration. this does n ot affect the functionality of the signaltap ii embedded logic analyzer. table 3?1. arria gx jtag instructions (part 1 of 2) jtag instruction instruction code description sample/preload 00 0000 0101 allows a snapshot of signals at the device pins to be captured and examined during normal device operation and permits an initial data pattern to be output at the device pins. also used by the signaltap ii embedded logic analyzer. extest (1) 00 0000 1111 allows external circuitr y and board-level interconnects to be tested by forcing a test pattern at the output pins and capturing test results at the input pins. bypass 11 1111 1111 places the 1-bit bypas s register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation. usercode 00 0000 0111 selects the 32-bit usercode register and places it between the tdi and tdo pins, allowing the usercode to be serially shifted out of tdo . idcode 00 0000 0110 selects the idcode register and places it between tdi and tdo , allowing idcode to be serially shifted out of tdo . highz (1) 00 0000 1011 places the 1-bit bypas s register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation, while tri-stating all of the i/o pins. clamp (1) 00 0000 1010 places the 1-bit bypas s register between the tdi and tdo pins, which allows the bst data to pass synchronously through selected devices to adjacent devices during normal device operation while holding i/o pins to a state defined by the data in the boundary-scan register. icr instructions ? used when configuring an arria gx device via the jtag port with a usb-blaster?, masterbl aster?, byteblastermv?, or byteblaster ii download cable, or when using a .jam or .jbc via an embedded processor or jrunner tm . pulse_nconfig 00 0000 0001 emulates pulsing the nconfig pin low to trigger reconfiguration even though the physical pin is unaffected.
altera corporation 3?3 may 2008 arria gx device handbook, volume 1 ieee std. 1149.1 jtag boundary-scan support the arria gx device instruction register length is 10 bits and the usercode register length is 32 bits. tables 3?2 and 3?3 show the boundary-scan register length and device idcode information for arria gx devices. config_io (2) 00 0000 1101 allows configuration of i/o standards through the jtag chain for jtag testing. can be executed before, during, or after configuration. stops configuration if executed during configuration. once issued, the config_io instruction holds nstatus low to reset the configuration device. nstatus is held low until the ioe configur ation register is loaded and the tap controller state machine transitions to the update_dr state. notes to ta b l e 3 ? 1 : (1) bus hold and weak pull-up resistor feat ures override the high-impedance state of highz , clamp , and extest . (2) for more informati on about using the config_io instruction, refer to the morphio: an i/o reconfiguration solution for altera devices white paper. table 3?1. arria gx jtag instructions (part 2 of 2) jtag instruction instruction code description table 3?2. arria gx boundar y-scan register length device boundary-scan register length ep1agx20 1320 ep1agx35 1320 ep1agx50 1668 ep1agx60 1668 ep1agx90 2016 table 3?3. 2-bit arria gx device idcode (part 1 of 2) device idcode (32 bits) version (4 bits) part number (16 bits) manufacturer identity (11 bits) lsb (1 bit) ep1agx20 0000 0010 0001 0010 0001 000 0110 1110 1 ep1agx35 0000 0010 0001 0010 0001 000 0110 1110 1 ep1agx50 0000 0010 0001 0010 0010 000 0110 1110 1
3?4 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing signaltap ii embedded logic analyzer arria gx devices feature the signal tap ii embedded logic analyzer, which monitors design operation over a period of time through the ieee std. 1149.1 (jtag) circuitry. you ca n analyze internal logic at speed without bringing intern al signals to the i/o pi ns. this feature is particularly important for advanced packages, such as fineline bga (fbga) packages, because it can be difficult to add a connection to a pin during the debugging process after a board is designed and manufactured. configuration the logic, circuitry, and interconnects in the arria gx architecture are configured with cmos sram elements. altera ? fpgas are reconfigurable and every device is tested with a high coverage production test program so you do not have to perform fault testing and can instead focus on simulation and design verification. arria gx devices are configured at system power up with data stored in an altera configuration device or provided by an external controller (for example, a max ? ii device or microprocessor). you can configure arria gx devices using the fast passive parallel (fpp), active serial (as), passive serial (ps), passive paralle l asynchronous (ppa), and jtag configuration schemes. each arria gx device has an optimized interface that allows microprocessors to configur e it serially or in parallel, and synchronously or asynchronously . the interface also enables microprocessors to treat arria gx devi ces as memory and configure them by writing to a virtual memory loca tion, making reconfiguration easy. in addition to the number of conf iguration methods supported, arria gx devices also offer decompression and remote system upgrade features. the decompression feature allows arria gx fpgas to receive a compressed configuration bitstream and decompress this data in real-time, reducing storage requir ements and configuration time. the remote system upgrade feature allows real-time system upgrades from remote locations of arria gx design s. for more information, refer to ?configuration schemes? on page 3?6 . ep1agx60 0000 0010 0001 0010 0010 000 0110 1110 1 ep1agx90 0000 0010 0001 0010 0011 000 0110 1110 1 table 3?3. 2-bit arria gx device idcode (part 2 of 2) device idcode (32 bits) version (4 bits) part number (16 bits) manufacturer identity (11 bits) lsb (1 bit)
altera corporation 3?5 may 2008 arria gx device handbook, volume 1 configuration operating modes the arria gx architecture uses sr am configuration elements that require configuration data to be loaded each time the circuit powers up. the process of physically loading the sram data into the device is called configuration. during initialization, which occurs immediately after configuration, the device resets regist ers, enables i/o pins, and begins to operate as a logic device. the i/o pins are tri-stated during power up, and before and during configuration. together, the configuration and initialization processes are called command mode. normal device operation is called user mode. sram configuration elements al lows you to reconfigure arria gx devices in-circuit by loading new configuration data into the device. with real-time reconfiguration, the device is forced into command mode with a device pin. the configuration process loads different configuration data, re-initializes the device, and resumes user-mode operation. you can perform in-field upgrades by distribu ting new configuration files either within the system or remotely. porsel is a dedicated input pin used to select power-on reset (por) delay times of 12 ms or 100 ms during power up. when the porsel pin is connected to ground, the por time is 100 ms. when the porsel pin is connected to v cc , the por time is 12 ms. the nio_pullup pin is a dedicated input that chooses whether the internal pull-up resistors on the user i/o pins and dual-purpose configuration i/o pins ( ncso , asdo , data[7..0] , nws , nrs , rdynbsy , ncs , cs , runlu , pgm[2..0] , clkusr , init_done , dev_oe , dev_clr ) are on or off before and during config uration. a logic high (1.5, 1.8, 2.5, 3.3 v) turns off the weak internal pull -up resistors, while a logic low turns them on. arria gx devices also of fer a new power supply, v ccpd , which must be connected to 3.3 v in order to power the 3.3-v/2.5-v buffer available on the configuration input pins and jtag pins. v ccpd applies to all the jtag input pins ( tck , tms , tdi , and trst ) and the following configuration pins: nconfig , dclk (when used as an input), nio_pullup , data[7..0] , runlu , nce , nws , nrs , cs , ncs , and clkusr . the v ccsel pin allows the v ccio setting (of the banks where the configuration inputs reside) to be independent of the vo ltage required by the configuration inputs. therefore, when selecting the v ccio voltage, you do not have to take the vil and vih levels driven to the configuration inputs into consideration. the configuration input pins, nconfig , dclk (when used as an input), nio_pullup , runlu , nce , nws , nrs , cs , ncs , and clkusr , have a dual buffer design: a 3.3-v/ 2.5-v input buffer and a 1.8-v/1.5-v
3?6 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing input buffer. the v ccsel input pin selects which input buffer is used. the 3.3-v/2.5-v input buffer is powered by v ccpd , while the 1.8-v/1.5-v input buffer is powered by v ccio . v ccsel is sampled during power up. therefore, the v ccsel setting cannot change on-the-fly or during a reconfiguration. the v ccsel input buffer is powered by v ccint and must be hard-wired to v ccpd or ground. a logic high v ccsel connection selects the 1.8-v/1. 5-v input buffer, and a logic low selects the 3.3-v/2.5-v input buffer. v ccsel should be set to comply with the logic levels dr iven out of the configuration device or max ii microprocessor. if the design must support configurat ion input voltages of 3.3 v/2.5 v, set v ccsel to a logic low. you can set the v ccio voltage of the i/o bank that contains the configuration inputs to any supported voltage. if the design must support configuration input vo ltages of 1.8 v/1.5 v, set v ccsel to a logic high and the v ccio of the bank that contains the configuration inputs to 1.8 v/1.5 v. f for more information about multi-volt support, including information about using tdo and nceo in multi-volt systems, refer to the arria gx architecture chapter in volume 1 of the arria gx device handbook . configuration schemes you can load the configuration data for an arria gx device with one of five configuration schemes (refer to table 3?4 ), chosen on the basis of the target application. you can use a configuration device, intelligent controller, or the jtag port to configure an arria gx device. a configuration device can automatically configure an arria gx device at system power up. you can configure multiple arria g x devices in any of the five configuration schemes by connect ing the configuration enable ( nce ) and configuration enable output ( nceo ) pins on each device. arria gx fpgas offer the following: configuration data decompression to reduce configuration file storage remote system upgrades for rem otely updating arria gx designs
altera corporation 3?7 may 2008 arria gx device handbook, volume 1 configuration table 3?4 summarizes which configuration features can be used in each configuration scheme. f for more information about configuration schemes in arria gx devices, refer to the configuring arria gx devices chapter in volume 2 of the arria gx device handbook . device configuratio n data decompression arria gx fpgas support decompressio n of configuration data, which saves configuration memory space an d time. this feature allows you to store compressed configuration data in configuration devices or other memory and transmit this compressed bitstream to arria gx fpgas. during configuration, the arria gx fp ga decompresses the bitstream in real time and programs its sram cells. arria gx fpgas support decompression in the fpp (when using a max ii device or table 3?4. arria gx configuration features configuration scheme configuration method decompression remote system upgrade fpp max ii device or microprocessor and flash device v (1) v enhanced configuration device v (2) v as serial configuration device vv (3) ps max ii device or microprocessor and flash device vv enhanced configuration device vv download cable (4) v ? ppa max ii device or microprocessor and flash device ? v jtag download cable (4) ?? max ii device or microprocessor and flash device ?? notes for ta b l e 3 ? 4 : (1) in these modes, the host system must send a dclk that is 4 the data rate. (2) the enhanced configuration device decompression feature is available, while the arria gx decompression feature is not available. (3) only remote update mode is supported when using the as configuration scheme. local update mode is not supported. (4) the supported download cables inc lude the altera usb-blaster universal se rial bus (usb) port download cable, masterblaster serial/usb communications cable, by teblaster ii parallel port download cable, and the byteblastermv parallel port download cable.
3?8 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing microprocessor and flash memory), as, and ps configuration schemes. decompression is not supported in th e ppa configuration scheme nor in jtag-based configuration. remote system upgrades shortened design cycles, evolving standards, and system deployments in remote locations are difficult challe nges faced by system designers. arria gx devices can help effectively deal with these challenges with their inherent re programmability and dedicated circuitry to perform remote system updates. remote system updates help deliver feature enhancements and bug fixes without costly recalls, reduce time to market, and extend product life. arria gx fpgas feature dedicated re mote system upgrade circuitry to facilitate remote system updates. soft logic (nios ? processor or user logic) implemented in the arria gx device can download a new configuration image from a remote location, store it in configuration memory, and direct the dedicated remote system upgrade circuitry to initiate a reconfiguration cycle. the dedicated circuitry performs error detection during and after the configuration process, recovers from any error condition by reverting back to a safe configuration image, and provides error status information. this dedicated remote system upgrade circuitry avoids system downtime and is the critical component for successful remote system upgrades. remote system configuration is supported in the following arria gx configuration schemes: fpp, as, ps, and ppa. you can also implement remote system configuration in conjunction with arria gx features such as real-time decompression of configuration data for efficient field upgrades. f for more information about remote configuration in arria gx devices, refer to the remote system upgrades with arria gx devices chapter in volume 2 of the arria gx device handbook . configuring arria gx fpgas with jrunner the jrunner software driver configures altera fpgas, including arria gx fpgas, through the byteblaster ii or byteblastermv cables in jtag mode. the programming input file supported is in raw binary file ( .rbf ) format. jrunner also requires a chain description file ( .cdf ) generated by the quartus ii software. jrunner is targeted for embedded jtag configuration. the source code is developed for the windows nt operating system (os), but can be cus tomized to run on other platforms.
altera corporation 3?9 may 2008 arria gx device handbook, volume 1 configuration f for more information about the jrunner software driver, refer to the an414: jrunner software driver: an embedded solution for pld jtag configuration and the source files on the altera web site ( www.altera.com ). programming serial configur ation devices with srunner you can program a serial configuratio n device in-system by an external microprocessor using srunner tm . srunner is a software driver developed for embedded serial configuration device programming that can be easily customized to fit into different embedded systems. srunner reads a raw programming data file ( .rpd ) and writes to serial configuration devices. the serial configuration device programming time using srunner is comparable to the programming time when using the quartus ii software. f for more information about srunner, refer to the an418: srunner: an embedded solution for serial configuration device programming and the source code on the altera web site. f for more information about programmi ng serial configuration devices, refer to the serial configuration devices (epcs1, epcs4, epcs64, and epcs128) data sheet in the configuration handbook . configuring arria gx fpgas with the microblaster driver the microblaster? software driver supports a raw binary file (rbf) programming input file and is ideal for embedded fpp or ps configuration. the source code is developed for the windows nt operating system, although it can be customized to run on other operating systems. f for more information about the microblaster software driver, refer to the configuring the microblaster fast passive parallel software driver white paper or the an423: configuring the microbla ster passive serial software driver on the altera web site. pll reconfiguration the phase-locked loops (plls) in the arria gx device family support reconfiguration of their multiply, divide, vco-phase selection, and bandwidth selection settings without reconfiguring the entire device. you can use either serial data from th e logic array or regular i/o pins to program the pll?s counter settings in a serial chain. this option provides
3?10 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing considerable flexibility for freque ncy synthesis, allowing real-time variation of the pll frequency and delay. the rest of the device is functional while re configuring the pll. f for more information about arria gx plls, refer to the plls in arria gx devices chapter in volume 2 of the arria gx device handbook . temperature sensing diode arria gx devices include a diode-connected transistor for use as a temperature sensor in power manageme nt. this diode is used with an external digital thermometer devi ce such as a max1617a or max1619 from maxim integrated products. these devices steer bias current through the arria gx diode, measur ing forward voltage and converting this reading to temperature in the fo rm of an eight-bi t signed number (seven bits plus one sign bit). the external device?s output represents the junction temperature of the arri a gx device and can be used for intelligent power management. the diode requires two pins ( tempdiodep and tempdioden ) on the arria gx device to connect to the exte rnal temperature-sensing device, as shown in figure 3?1 . the temperature sensing diode is a passive element and therefore can be used before the arria gx device is powered. figure 3?1. external temperature-sensing diode arria gx device temperature-sensing device tempdiodep tempdioden
altera corporation 3?11 may 2008 arria gx device handbook, volume 1 temperature sensing diode table 3?5 shows the specifications for bias voltage and current of the arria gx temperature sensing diode. the temperature-sensing diode works for the entire operating range, as shown in figure 3?2 . figure 3?2. temperature vs. temperature-sensing diode voltage table 3?5. temperature-sensing di ode electrical characteristics parameter minimum typical maximum unit ibias high 80 100 120 a ibias low 8 10 12 a vbp - vbn 0.3 ? 0.9 v vbn ? 0.7 ? v series resistance ? ? 3 0.90 0.85 0.95 0.75 0.65 voltage (across diode) temperature (?c) 0.55 0.45 0.60 0.50 0.40 0.70 0.80 ?55 ?30 ?5 20 45 70 95 120 10 a bias current 100 a bias current
3?12 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing automated single event upset (seu) detection arria gx devices offer on-chip circuitry for automated checking of single event upset (seu) detection. some appl ications that require the device to operate error free at high elevations or in close proximity to earth?s north or south pole will require periodic checks to ensure continued data integrity. the error detection cyclic redundancy check (crc) feature controlled by the device and pin options dialog box in the quartus ii software uses a 32-bit crc circuit to en sure data reliability and is one of the best options for mitigating seu. you can implement the error detection crc feature with ex isting circuitry in arria gx devices, eliminating the need for external logic. arria gx devices compute crc during configuration. the arria gx device checks the computed-crc against an autom atically computed crc during normal operation. the crc_error pin reports a soft error when configuration sram data is corrupted, triggering device reconfiguration. custom-built circuitry dedicated circuitry is built into arria gx devices to automatically perform error detection. this circuitry constantly checks for errors in the configuration sram cells while the device is in user mode. you can monitor one external pin for the error and use it to trigger a reconfiguration cycle. you can select the desired time between checks by adjusting a built-in clock divider. software interface beginning with version 7.1 of the quartus ii software, you can turn on the automated error detection crc feature in the device and pin options dialog box. this dialog box allows you to enable the feature and set the internal frequency of the crc between 400 khz to 50 mhz. this controls the rate that the crc circuitry verifi es the internal configuration sram bits in the arria gx fpga. f for more information about crc, refer to an 357: error detection using crc in altera fpgas . referenced documents this chapter references the following documents: an 357: error detection using crc in altera fpgas an414: jrunner software driver: an embedded solution for pld jtag configuration an418: srunner: an embe dded solution for serial configuration device programming an423: configuring the microblaster passive serial software driver
altera corporation 3?13 may 2008 arria gx device handbook, volume 1 document revision history arria gx architecture chapter in volume 1 of the arria gx device handbook configuring arria gx devices chapter in volume 2 of the arria gx device handbook configuring the microblaster fast passive parallel software driver white paper morphio: an i/o reconfiguration solution for altera devices white paper plls in arria gx devices chapter in volume 2 of the arria gx device handbook remote system upgrades with arria gx devices chapter in volume 2 of the arria gx device handbook serial configuration devices (epcs1, epcs4, epcs64, and epcs128) data sheet in the configuration handbook document revision history table 3?6 shows the revision history for this chapter. table 3?6. document revision history date and document version changes made summary of changes may 2008 v1.3 updated note in ?introduction? section. ? minor text edits. ? august 2007 v1.2 added the ?referenced documents? section. ? june 2007 v1.1 deleted signal tap ii information from table 3?1. ? may 2007 v1.0 initial release ?
3?14 altera corporation arria gx device handbook, volume 1 may 2008 configuration and testing
altera corporation 4?1 may 2008 4. dc and switching characteristics operating conditions arria? gx devices are offered in both co mmercial and indu strial grades. both commercial and industrial devices are offered in -6 speed grade only. this chapter contains the following sections: ?operating conditions? on page 4?1 ?power consumption? on page 4?34 ?i/o timing model? on page 4?34 ?typical design performance? on page 4?43 ?block performance? on page 4?110 ?ioe programmable delay? on page 4?113 ?maximum input and output cloc k toggle rate? on page 4?114 ?duty cycle distortion? on page 4?125 ?high-speed i/o specifications? on page 4?131 ?pll timing specifications? on page 4?133 ?external memory interface specifications? on page 4?135 ?jtag timing specifications? on page 4?137 tables 4?1 through 4?42 provide information on absolute maximum ratings, recommended operating conditions, dc electrical characteristics, and other specifications for arria gx devices. absolute maximum ratings table 4?1 contains the absolute maximum ratings for the arria gx device family. table 4?1. arria gx device absolute maximum ratings notes (1) , (2) , (3) symbol parameter conditions minimum maximum unit v ccint supply voltage with respect to ground ?0.5 1.8 v v ccio supply voltage with respect to ground ?0.5 4.6 v v ccpd supply voltage with respect to ground ?0.5 4.6 v v i dc input voltage (4) ?0.5 4.6 v i out dc output current, per pin ?25 40 ma t stg storage temperature no bias ?65 150 c agx51004-1.3
4?2 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions recommended oper ating conditions table 4?3 contains the arria gx device family recommended operating conditions. t j junction temperature bga packages under bias ?55 125 c notes to ta b l e 4 ? 1 : (1) see the operating re quirements for altera ? devices in the arria gx device family data sheet in volume 1 of the arria gx device handbook for more information. (2) conditions beyond those listed in table 4?1 may cause permanent damage to a device. additionally, device operation at the absolute maximum ratings for extended pe riods of time may have adve rse affects on the device. (3) supply voltage specifications apply to voltage readin gs taken at the device pins, not at the power supply. (4) during transitions, the inputs may overshoot to the voltage shown in table 4?2 based upon the input duty cycle. the dc case is equivalent to 100% dut y cycle. during transitions, the inputs may undershoot to ?2.0 v for input currents less than 100 ma and periods shorter than 20 ns. table 4?1. arria gx device absolute maximum ratings notes (1) , (2) , (3) symbol parameter conditions minimum maximum unit table 4?2. maximum duty cy cles in voltage transitions note (1) symbol parameter condition maximum duty cycles (%) v i maximum duty cycles in voltage transitions v i = 4.0 v 100 v i = 4.1 v 90 v i = 4.2 v 50 v i = 4.3 v 30 v i = 4.4 v 17 v i = 4.5 v 10 note to ta b l e 4 ? 2 : (1) during transition, the inputs may overshoot to the voltages shown based on the input duty cycle. the dc case is equivalent to 100% duty cycle. table 4?3. arria gx device recommended operating conditions (part 1 of 2) note (1) symbol parameter conditions minimum maximum unit v ccint supply voltage for internal logic and input buffers rise time 100 ms (3) 1.15 1.25 v
altera corporation 4?3 may 2008 arria gx device handbook, volume 1 dc and switching characteristics transceiver block characteristics tables 4?4 through 4?6 contain transceiver block specifications. v ccio supply voltage for output buffers, 3.3-v operation rise time 100 ms (3) , (6) 3.135 (3.00) 3.465 (3.60) v supply voltage for output buffers, 2.5-v operation rise time 100 ms (3) 2.375 2.625 v supply voltage for output buffers, 1.8-v operation rise time 100 ms (3) 1.71 1.89 v supply voltage for output buffers, 1.5-v operation rise time 100 ms (3) 1.425 1.575 v supply voltage for output buffers, 1.2-v operation rise time 100 ms (3) 1.15 1.25 v v ccpd supply voltage for pre-drivers as well as configuration and jtag i/o buffers. 100 s rise time 100 ms (4) 3.135 3.465 v v i input voltage (see table 4?2 ) (2) , (5) ?0.5 4.0 v v o output voltage 0 v ccio v t j operating junction temperat ure for commercial use 0 85 c for industrial use ?40 100 c notes to ta b l e 4 ? 3 : (1) supply voltage specifications apply to voltage readin gs taken at the device pins, not at the power supply. (2) during transitions, the inputs may overshoot to the voltage shown in table 4?2 based upon the input duty cycle. the dc case is equivalent to 100% dut y cycle. during transitions, the inputs may undershoot to ?2.0 v for input currents less than 100 ma and periods shorter than 20 ns. (3) maximum v cc rise time is 100 ms, and v cc must rise monotonically from ground to v cc . (4) v ccpd must ramp-up from 0 v to 3.3 v within 100 s to 100 ms. if v ccpd is not ramped up within this specified time, the arria gx device will not configure succ essfully. if the system does not allow for a v ccpd ramp-up time of 100 ms or less, hold nconfig low until all power supplies are reliable. (5) all pins, including dedicated inputs, clock, i/o, and jtag pins, may be driven before v ccint , v ccpd , and v ccio are powered. (6) v ccio maximum and minimum conditions for pci and pci-x are shown in parentheses. table 4?3. arria gx device recommended operating conditions (part 2 of 2) note (1) symbol parameter conditions minimum maximum unit table 4?4. arria gx transceiver block absolute maximum ratings (part 1 of 2) note (1) symbol parameter conditions minimum maximum units v cca transceiver block supply voltage commercial and industrial ?0.5 4.6 v v ccp transceiver block supply voltage commercial and industrial ?0.5 1.8 v
4?4 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions v ccr transceiver block supply voltage commercial and industrial ?0.5 1.8 v v cct_b transceiver block supply voltage commercial and industrial ?0.5 1.8 v v ccl_b transceiver block supply voltage commercial and industrial ?0.5 1.8 v v cch_b transceiver block supply voltage commercial and industrial ?0.5 2.4 v note to ta b l e s 4 ? 4 : (1) the device can tolerate prolonged op eration at this absolute maximum, as long as the maximum specification is not violated. table 4?5. arria gx transceiver block operating conditions symbol parameter conditions minimum typical maximum units v cca transceiver block supply voltage commercial and industrial 3.135 3.3 3.465 v v ccp transceiver block supply voltage commercial and industrial 1.15 1.2 1.25 v v ccr transceiver block supply voltage commercial and industrial 1.15 1.2 1.25 v v cct_b transceiver block supply voltage commercial and industrial 1.15 1.2 1.25 v v ccl_b transceiver block supply voltage commercial and industrial 1.15 1.2 1.25 v v cch_b transceiver block supply voltage commercial and industrial 1.15 1.2 1.25 v 1.425 1.5 1.575 v r refb (1) reference resistor commercial and industrial 2k ?1% 2k 2k +1% notes to ta b l e 4 ? 5 : (1) the dc signal on this pin must be as clean as po ssible. ensure that no noise is coupled to this pin. table 4?4. arria gx transceiver block absolute maximum ratings (part 2 of 2) note (1) symbol parameter conditions minimum maximum units
altera corporation 4?5 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?6. arria gx transceiver bl ock ac specification (part 1 of 4) symbol / description conditions -6 speed grade commercial and industrial unit min typ max reference clock input reference clock frequency 50 ? 622.08 mhz absolute v max for a refclk pin ??3.3v absolute v min for a refclk pin -0.3 ? ? v rise/fall time ? 0.2 ? ui duty cycle 45 ? 55 % peak to peak differential input voltage vid (diff p-p) 200 ? 2000 mv spread spectrum clocking (1) 0 to -0.5% 30 ? 33 khz on-chip termination resistors 115 20% v icm (ac coupled) 1200 5% mv v icm (dc coupled) (2) pci express (pipe) mode 0.25 ? 0.55 v rrefb 2000 +/-1% transceiver clocks calibration block clock frequency 10 - 125 mhz calibration block minimum power-down pulse width 30 - - ns fixedclk clock frequency (3) 125 10% mhz reconfig clock frequency sdi mode 2.5 50 mhz transceiver block minimum power-down pulse width 100 - - ns
4?6 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions receiver data rate 600 - 3125 mbps absolute v max for a receiver pin (4) --2.0v absolute v min for a receiver pin -0.4 - - v maximum peak-to-peak differential input voltage v id (diff p-p) vicm = 0.85 v - - 3.3 v minimum peak-to-peak differential input voltage v id (diff p-p) dc gain = 3 db 160 - - mv on-chip termination resistors 10015% v icm (15) vicm = 0.85 v setting 850 10% 850 10% 850 10% mv vicm = 1.2 v setting 1200 10% 1200 10% 1200 10% mv bandwidth at 3.125 gbps bw = low 30 - mhz bw = med 40 bw = high 50 bandwidth at 2.5 gbps bw = low 35 - mhz bw = med 50 bw = high 60 return loss differential mode 50 mhz to 1.25 ghz (pci express) -10 db 100 mhz to 2.5 ghz (xaui) return loss common mode 50 mhz to 1.25 ghz (pci express) -6 db 100 mhz to 2.5 ghz (xaui) programmable ppm detector (5) 62.5, 100, 125, 200, 250, 300, 500, 1000 ppm run length (6) 80 ui programmable equalization 5db signal detect/loss threshold (7) 65 - 175 mv table 4?6. arria gx transceiver bl ock ac specification (part 2 of 4) symbol / description conditions -6 speed grade commercial and industrial unit min typ max
altera corporation 4?7 may 2008 arria gx device handbook, volume 1 dc and switching characteristics cdr ltr time (8) , (9) - - 75 us cdr minimum t1b (9) , (10) 15 - - us ltd lock time (9) , (11) 0 100 4000 ns data lock time from rx_freqlocked (9) , (12) --4us programmable dc gain 0, 3, 6 db transmitter buffer output common mode voltage (vocm) vocm = 0.6 v setting 580 10% mv vocm = 0.7 v setting 680 10% mv on-chip termination resistors 10810% return loss differential mode 50 mhz to 1.25 ghz (pci express) -10 db 312 mhz to 625 mhz (xaui) return loss common mode 50 mhz to 1.25 ghz (pci express) -6 db rise time 35 - 65 ps fall time 35 - 65 ps intra differential pair skew v od = 800 mv - - 15 ps intra-transceiver block skew (4) (13) - - 100 ps transmitter pll vco frequency range 500 - 1562.5 mhz bandwidth at 3.125 gbps bw = low 3 - mhz bw = med 5 bw = high 9 bandwidth at 2.5 gbps bw = low 1 - mhz bw = med 2 bw = high 4 tx pll lock time from gxb_powerdown deassertion (9) , (14) - - 100 us table 4?6. arria gx transceiver bl ock ac specification (part 3 of 4) symbol / description conditions -6 speed grade commercial and industrial unit min typ max
4?8 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions figure 4?1 shows the lock time parameters in manual mode. figure 4?2 shows the lock time parame ters in automatic mode. 1 ltd = lock to data ltr = lock to reference clock pcs interface speed per mode 25 156.25 mhz digital reset pulse width minimum is 2 parallel clock cycles note to ta b l e 4 ? 6 : (1) spread spectrum clocking is allowed only in pci express (pipe) mode if the upstream transmitter and the receiver share the same clock source. (2) the reference clock dc coupling opti on is only available in pci express (pipe) mode for the hcsl i/o standard. (3) the fixedclk is used in pipe mode receiver detect circuitry. (4) the device cannot tolerate prol onged operation at this absolute maximum. (5) the rate matcher supports only up to 300 ppm for pipe mode and 100 ppm for gige mode. (6) this parameter is measured by embedding the run length data in a prbs sequence. (7) signal detect threshold detector circuitry is available only in pci express (pipe mode). (8) time taken for rx_pll_locked to go high from rx_analogreset deassertion. refer to figure 4?1 . (9) refer to protocol characterization document s for lock times specific to the protocols. (10) time for which the cdr needs to stay in ltr mode after rx_pll_locked is asserted and before rx_locktodata is asserted in manual mode. refer to figure 4?1 . (11) time taken to recover valid data from gxb after the rx_locktodata signal is asserted in manual mode. measurement results are based on prbs31, for native data rates only. refer to figure 4?1 . (12) time taken to recover valid data from gxb after the rx_freqlocked signal goes high in automatic mode. measurement results are based on prbs31, for native data rates only. refer to figure 4?2 . (13) this is applicable only to pci express (pipe) 4 and xaui 4 mode. (14) time taken to lock tx pll from gxb_powerdown deassertion. (15) the 1.2 v rx vicm settings is intended for dc-coupled lvds links. table 4?6. arria gx transceiver bl ock ac specification (part 4 of 4) symbol / description conditions -6 speed grade commercial and industrial unit min typ max
altera corporation 4?9 may 2008 arria gx device handbook, volume 1 dc and switching characteristics figure 4?1. lock time parameters for manual mode figure 4?2. lock time parameters for automatic mode ltr ltd invalid data valid data r x_locktodata ltd lock time cdr status r x_dataout r x_pll_locked r x_analogreset cdr ltr time cdr minimum t1b ltr ltd invalid data valid data r x_freqlocked data lock time from rx_freqlocked r x_dataout cdr status
4?10 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions figure 4?3 and figure 4?4 show differential receiver input and transmitter output wave forms, respectively. figure 4?3. receiver input waveform figure 4?4. transmitter output waveform single-ended waveform differential waveform v id (diff peak-peak) = 2 x v id (single-ended) positive channel (p) negative channel (n) ground v id v id v id p ? n = 0 v v cm single-ended waveform differential waveform v od (diff peak-peak) = 2 x v od (single-ended) positive channel (p) negative channel (n) ground v od v od v od p ? n = 0 v v cm
altera corporation 4?11 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?7 shows the arria gx transceiver block ac specification. table 4?7. arria gx transceiver block ac specification notes (1) , (2) , (3) (part 1 of 3) description condition -6 speed grade commercial & industrial unit xaui transmit jitter generation (4) total jitter at 3.125 gbps refclk = 156.25 mhz pattern = cjpat v od = 1200 mv no pre-emphasis 0.3 ui deterministic jitter at 3.125 gbps refclk = 156.25 mhz pattern = cjpat v od = 1200 mv no pre-emphasis 0.17 ui xaui receiver jitter tolerance (4) total jitter > 0.65 ui deterministic jitter > 0.37 ui peak-to-peak jitter jitter frequency = 22.1 khz > 8.5 ui peak-to-peak jitter jitter frequency = 1.875 mhz > 0.1 ui peak-to-peak jitter jitter frequency = 20 mhz > 0.1 ui pci express (pipe) transmitter jitter generation (5) total transmitter jitter generation compliance pattern; v od = 800 mv; pre-emphasis = 49% < 0.25 ui p-p pci express (pipe) receiver jitter tolerance (5) total receiver jitter tolerance compliance pattern; dc gain = 3 db > 0.6 ui p-p gigabit ethernet (gige) tran smitter jitter generation (7) total transmitter jitter generation (tj) crpat: v od = 800 mv; pre-emphasis = 0% < 0.279 ui p-p deterministic transmitter jitter generation (dj) crpat; v od = 800 mv; pre-emphasis = 0% < 0.14 ui p-p gigabit ethernet (gige) receiver jitter tolerance total jitter tolerance cjpat compliance pattern; dc gain = 0 db > 0.66 ui p-p deterministic jitter tolerance cjpat compliance pattern; dc gain = 0 db > 0.4 ui p-p
4?12 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions serial rapidio (1.25 gbps, 2.5 gbps, and 3.125 gbps) transmitter jitter generation (6) total transmitter jitter generation (tj) cjpat compliance pattern; v od = 800 mv; pre-emphasis = 0% < 0.35 ui p-p deterministic transmitter jitter generation (dj) cjpat compliance pattern; v od = 800 mv; pre-emphasis = 0% < 0.17 ui p-p serial rapidio (1.25 gbps, 2.5 gbps, and 3.125 gbps) receiver jitter tolerance (6) total jitter tolerance cjpat compliance pattern; dc gain = 0 db > 0.65 ui p-p combined deterministic and random jitter tolerance (j dr ) cjpat compliance pattern; dc gain = 0 db > 0.55 ui p-p deterministic jitter tolerance (j d ) cjpat compliance pattern; dc gain = 0 db > 0.37 ui p-p sinusoidal jitter tolerance jitter frequency = 22.1 khz > 8.5 ui p-p jitter frequency = 200 khz > 1.0 ui p-p jitter frequency = 1.875 mhz > 0.1 ui p-p jitter frequency = 20 mhz > 0.1 ui p-p sdi transmitter jitter generation (8) alignment jitter (peak-to-peak) d a t a r a t e = 1 . 4 8 5 g b p s ( h d ) refclk = 74.25 mh z pattern = color bar vod = 800 mv no pre-emphasis low-frequency roll-off = 100 khz 0.2 ui data rate = 2.97 gbps (3g) refclk = 1 4 8 . 5 m h z pattern = color bar vod = 800 mv no pre-emphasis low-frequency roll-off = 100 khz 0.3 ui table 4?7. arria gx transceiver block ac specification notes (1) , (2) , (3) (part 2 of 3) description condition -6 speed grade commercial & industrial unit
altera corporation 4?13 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sdi receiver jitter tolerance (8) sinusoidal jitter tolerance (peak-to-peak) jitter frequency = 15 khz d a t a r a t e = 2 . 9 7 g b p s ( 3 g ) refclk = 148.5 mhz pattern = single line scramble color bar no equalization dc gain = 0 db > 2 ui jitter frequency = 100 khz d a t a r a t e = 2 . 9 7 g b p s ( 3 g ) refclk = 148.5 mhz pattern = single line scramble color bar no equalization dc gain = 0 db > 0.3 ui jitter frequency = 148.5 mhz d a t a r a t e = 2 . 9 7 g b p s ( 3 g ) refclk = 148.5 mhz pattern = single line scramble color bar no equalization dc gain = 0 db > 0.3 ui sinusoidal jitter tolerance (peak-to-peak) jitter frequency = 20 khz d a t a r a t e = 1 . 4 8 5 g b p s ( h d ) refclk = 74.25 mh z p a t t e r n = 7 5 % c o l o r b a r no equalization dc gain = 0 db > 1 ui jitter frequency = 100 khz d a t a r a t e = 1 . 4 8 5 g b p s ( h d ) refclk = 74.25 mh z p a t t e r n = 7 5 % c o l o r b a r no equalization dc gain = 0 db > 0.2 ui notes to ta b l e 4 ? 7 : (1) dedicated refclk pins were used to drive the input reference clocks. (2) jitter numbers specified are valid for the stated conditions only. (3) refer to the protocol characteriza tion documents for detailed information. (4) the jitter numbers for xaui are compliant to the ieee802.3ae-2002 specification. (5) the jitter numbers for pci express are co mpliant to the pcie base specification 2.0. (6) the jitter numbers for serial rapidio are compliant to the rapidio specification 1.3. (7) the jitter numbers for gige are compliant to the ieee802.3-2002 specification. (8) the hd-sdi and 3g-sdi jitter numbers are compliant to the smpte292m and smpte424m specifications. table 4?7. arria gx transceiver block ac specification notes (1) , (2) , (3) (part 3 of 3) description condition -6 speed grade commercial & industrial unit
4?14 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions tables 4?8 and 4?9 show the transmitter and receiver pcs latency for each mode, respectively. table 4?8. pcs latency note (1) functional mode configuration transmitter pcs latency tx pipe tx phase comp fifo byte serializer tx state machine 8b/10b encoder sum (2) xaui - 2-3 1 0.5 0.5 4-5 pipe 1, 4, 8 8-bit channel width 1 3-4 1 - 1 6-7 1, 4, 8 16-bit channel width 1 3-4 1 - 0.5 6-7 gige - 2-3 1 - 1 4-5 serial rapidio 1.25 gbps, 2.5 gbps, 3.125 gbps - 2-3 1 - 0.5 4-5 sdi hd 10-bit channel width - 2-3 1 - 1 4-5 hd, 3g 20-bit channel width - 2-3 1 - 0.5 4-5 basic single width 8-bit/10-bit channel width - 2-3 1 - 1 4-5 16-bit/20-bit channel width - 2-3 1 - 0.5 4-5 notes to ta b l e s 4 ? 8 : (1) the latency numbers are with respect to the pld-transceiver interface clock cycles. (2) the total latency number is rounded off in the sum column.
altera corporation 4?15 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?9. pcs latency (part 1 of 2) note (1) functional mode configuration receiver pcs latency word aligner deskew fifo rate matcher (3) 8b/10b decoder receiver state machine byte de- serializer byte order receiver phase comp fifo receiver pipe sum (2) xaui 2-2.5 2-2.5 5.5-6.5 0.5 1 1 1 1-2 - 14-17 pipe 1, 4 8-bit channel width 4-5 - 11-13 1 - 1 1 2-3 1 21-25 1, 4 16-bit channel width 2-2.5 - 5.5-6.5 0.5 - 1 1 2-3 1 13-16 gige 4-5 - 11-13 1 - 1 1 1-2 - 19-23 serial rapidio 1.25 gbps, 2.5 gbps, 3.125 gbps 2-2.5 - - 0.5 - 1 1 1-2 - 6-7 sdi hd 10-bit channel width 5--1-111-2-9-10 hd, 3g 20-bit channel width 2.5 - - 0.5 - 1 1 1-2 - 6-7
4?16 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions basic single width 8/10-bit channel width; with rate matcher 4-5 - 11-13 1 - 1 1 1-2 1 19-23 8/10-bit channel width; without rate matcher 4-5--1-111-2-8-10 16/20-bit channel width; with rate matcher 2-2.5 - 5.5-6.5 0.5 - 1 1 1-2 - 11-14 16/20-bit channel width; without rate matcher 2-2.5 - - 0.5 - 1 1 1-2 - 6-7 notes to ta b l e s 4 ? 9 : (1) the latency numbers are with respect to the pld-transceiver interface clock cycles. (2) the total latency number is rounded off in the sum column. (3) the rate matcher latency shown is the steady state latency. actual latency may vary depe nding on the skip ordered set gap allowed by the protocol, actual ppm differe nce between the reference clocks, and so forth. table 4?9. pcs latency (part 2 of 2) note (1) functional mode configuration receiver pcs latency word aligner deskew fifo rate matcher (3) 8b/10b decoder receiver state machine byte de- serializer byte order receiver phase comp fifo receiver pipe sum (2)
altera corporation 4?17 may 2008 arria gx device handbook, volume 1 dc and switching characteristics tables 4?10 to tables 4?13 show the typical v od for data rates from 600 mbps to 3.125 gbps. the specific ation is for measurement at the package ball. table 4?10. typical v od setting, tx term = 100 vcchtx = 1.5 v v od setting (mv) 400 600 800 1000 1200 v od typical (mv) 430 625 830 1020 1200 table 4?11. typical v od setting, tx term = 100 vcchtx = 1.2 v v od setting (mv) 320 480 640 800 960 v od typical (mv) 344 500 664 816 960 table 4?12. typical pre-emphasis (first post-tap), note (1) vcchtx = 1.5 v first post tap pre-emphasis level v od setting (mv) 12345 tx term = 100 400 24% 62% 112% 184% 600 31% 56% 86% 122% 800 20% 35% 53% 73% 1000 23% 36% 49% 1200 17% 25% 35% note to table 4?12 : (1) applicable to data rates from 600 mbps to 3.125 gbps. specification is for measurement at th e package ball.
4?18 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions dc electrical characteristics table 4?14 shows the arria gx device family dc electrical characteristics. table 4?13. typical pre-emphasis (first post-tap), note (1) vcchtx = 1.2 v first post tap pre-emphasis level v od setting (mv) 12345 tx term = 100 320 24% 61% 114% 480 31% 55% 86% 121% 640 20% 35% 54% 72% 800 23% 36% 49% 960 18% 25% 35% note to table 4?13 : (1) applicable to data rates from 600 mbps to 3.125 gbps. specification is for measurement at th e package ball. table 4?14. arria gx device dc operating conditions (part 1 of 2) note (1) symbol parameter conditions device minimum typical maximum unit i i input pin leakage current v i = v cciomax to 0v (2) all ?10 10 a i oz tri-stated i/o pin leakage current v o = v cciomax to 0v (2) all ?10 10 a i ccint0 v ccint supply current (standby) v i = ground, no load, no toggling inputs t j = 25 c ep1agx20/35 0.30 (3) a ep1agx50/60 0.50 (3) a ep1agx90 0.62 (3) a i ccpd0 v ccpd supply current (standby) v i = ground, no load, no toggling inputs t j = 25 c, v ccpd = 3.3v ep1agx20/35 2.7 (3) ma ep1agx50/60 3.6 (3) ma ep1agx90 4.3 (3) ma i cci00 v ccio supply current (standby) v i = ground, no load, no toggling inputs t j = 25 c ep1agx20/35 4.0 (3) ma ep1agx50/60 4.0 (3) ma ep1agx90 4.0 (3) ma
altera corporation 4?19 may 2008 arria gx device handbook, volume 1 dc and switching characteristics i/o standard specifications tables 4?15 through 4?38 show the arria gx device family i/o standard specifications. r conf (4) value of i/o pin pull-up resistor before and during configuration vi = 0, v ccio = 3.3 v 10 25 50 k vi = 0, v ccio = 2.5 v 15 35 70 k vi = 0, v ccio = 1.8 v 30 50 100 k vi = 0, v ccio = 1.5 v 40 75 150 k vi = 0, v ccio = 1.2 v 50 90 170 k recommended value of i/o pin external pull-down resistor before and during configuration 12k notes to table 4?14 : (1) typical values are for t a = 25 c, v ccint = 1.2 v, and v ccio = 1.2 v, 1.5 v, 1.8 v, 2.5 v, and 3.3 v. (2) this value is specified for normal device operation. the value may vary during power-up. this applies for all v ccio settings (3.3, 2.5, 1.8, 1.5, and 1.2 v). (3) maximum values depend on the actual tj and design utilization. see the excel-based powerplay early power estimator (available at www.altera.com ) or the quartus ? ii powerplay power analyzer feature for maximum values. see the section ?power consumption? on page 4?34 for more information. (4) pin pull-up resistance values will be lower if an external source drives the pin higher than v ccio . table 4?14. arria gx device dc operating conditions (part 2 of 2) note (1) symbol parameter conditions device minimum typical maximum unit table 4?15. lvttl specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 3.135 3.465 v v ih high-level input voltage 1.7 4.0 v v il low-level input voltage ?0.3 0.8 v v oh high-level output voltage i oh = ?4 ma (2) 2.4 v
4?20 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions v ol low-level output voltage i ol = 4 ma (2) 0.45 v notes to table 4?15 : (1) arria gx devices comply to the narrow range for the su pply voltage as specified in the eia/jedec standard, jesd8-b. (2) this specification is supported across all the programmabl e drive strength settings available for this i/o standard. table 4?16. lvcmos specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 3.135 3.465 v v ih high-level input voltage 1.7 4.0 v v il low-level input voltage ?0.3 0.8 v v oh high-level output voltage v ccio = 3.0, i oh = ?0.1 ma (2) v ccio ? 0.2 v v ol low-level output voltage v ccio = 3.0, i ol = 0.1 ma (2) 0.2 v notes to table 4?16 : (1) arria gx devices comply to the narrow range for the su pply voltage as specified in the eia/jedec standard, jesd8-b. (2) this specification is supported ac ross all the programmable drive strength available for this i/o standard. table 4?15. lvttl specifications symbol parameter conditions minimum maximum unit
altera corporation 4?21 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?17. 2.5-v i/o specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 2.375 2.625 v v ih high-level input voltage 1.7 4.0 v v il low-level input voltage ?0.3 0.7 v v oh high-level output voltage i oh = ?1 ma (2) 2.0 v v ol low-level output voltage i ol = 1 ma (2) 0.4 v notes to table 4?17 : (1) the arria gx device v ccio voltage level support of 2.5 to 5% is na rrower than defined in the normal range of the eia/jedec standard. (2) this specification is supported ac ross all the programmable drive settings available for this i/o standard. table 4?18. 1.8-v i/o specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 1.71 1.89 v v ih high-level input voltage 0.65 v ccio 2.25 v v il low-level input voltage ?0.3 0.35 v ccio v v oh high-level output voltage i oh = ?2 ma (2) v ccio ? 0.45 v v ol low-level output voltage i ol = 2 ma (2) 0.45 v notes to table 4?18 : (1) the arria gx device v ccio voltage level support of 1.8 to 5% is narrower than defined in the normal range of the eia/jedec standard. (2) this specification is supported across all the programmab le drive settings available fo r this i/o standard as shown in arria gx architecture chapter in volume 1 of the arria gx device handbook .
4?22 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions figures 4?5 and 4?6 show receiver input and transmitter output waveforms, respectively, for all differential i/o standards (lvds and lvpecl). figure 4?5. receiver input waveform s for differential i/o standards table 4?19. 1.5-v i/o specifications symbol parameter conditions minimum maximum unit v ccio (1) output supply voltage 1.425 1.575 v v ih high-level input voltage 0.65 v ccio v ccio + 0.3 v v il low-level input voltage ?0.3 0.35 v ccio v v oh high-level output voltage i oh = ?2 ma (2) 0.75 v ccio v v ol low-level output voltage i ol = 2 ma (2) 0.25 v ccio v notes to table 4?19 : (1) the arria gx device v ccio voltage level support of 1.5 to 5% is narrower than defined in the normal range of the eia/jedec standard. (2) this specification is supported across all the programmab le drive settings available fo r this i/o standard as shown in arria gx architecture chapter in volume 1 of the arria gx device handbook . single-ended waveform differential waveform positive channel (p) = v ih negative channel (n) = v il ground v id v id v id p ? n = 0 v v cm v id (peak-to-peak)
altera corporation 4?23 may 2008 arria gx device handbook, volume 1 dc and switching characteristics figure 4?6. transmitter output wavefo rms for differential i/o standards single-ended waveform differential waveform positive channel (p) = v oh negative channel (n) = v ol ground v od v od v od p ? n = 0 v v cm table 4?20. 2.5-v lvds i/o specifications symbol parameter conditions minimum typical maximum unit v ccio i/o supply voltage for left and right i/o banks (1, 2, 5, and 6) 2.375 2.5 2.625 v v id input differential voltage swing (single-ended) 100 350 900 mv v icm input common mode voltage 200 1,250 1,800 mv v od output differential voltage (single-ended) r l = 100 250 450 mv v ocm output common mode voltage r l = 100 1.125 1.375 v r l receiver differential input discrete resistor (external to arria gx devices) 90 100 110 table 4?21. 3.3-v lvds i/o specifications (part 1 of 2) symbol parameter conditions minimum typical maximum unit v ccio (1) i/o supply voltage for top and bottom pll banks (9, 10, 11, and 12) 3.135 3.3 3.465 v v id input differential voltage swing (single-ended) 100 350 900 mv
4?24 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions v icm input common mode voltage 200 1,250 1,800 mv v od output differential voltage (single-ended) r l = 100 250 710 mv v ocm output common mode voltage r l = 100 840 1,570 mv r l receiver differential input discrete resistor (external to arria gx devices) 90 100 110 note to table 4?21 : (1) the top and bottom clock input differential buffer s in i/o banks 3, 4, 7, and 8 are powered by v ccint , not v ccio . the pll clock output/feedback differential buffers are powered by vcc_pll_out . for differential clock output/feedback operation, connect vcc_pll_out to 3.3 v. table 4?22. 3.3-v pcml specifications symbol parameter conditions minimum typical maximum units v ccio i/o supply voltage 3.135 3.3 3.465 v v id input differential voltage swing (single-ended) 300 600 mv v icm input common mode voltage 1.5 3.465 v v od output differential voltage (single-ended) 300 370 500 mv v od change in v od between high and low 50 mv v ocm output common mode voltage 2.5 2.85 3.3 v v ocm change in v ocm between high and low 50 mv v t output termination voltage v ccio v r 1 output external pull-up resistors 45 50 55 r 2 output external pull-up resistors 45 50 55 table 4?21. 3.3-v lvds i/o specifications (part 2 of 2) symbol parameter conditions minimum typical maximum unit
altera corporation 4?25 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?23. lvpecl specifications symbol parameter conditions minimum typical maximum unit v ccio (1) i/o supply voltage 3.135 3.3 3.465 v v id input differential voltage swing (single-ended) 300 600 1,000 mv v icm input common mode voltage 1.0 2.5 v v od output differential voltage (single-ended) r l = 100 525 970 mv v ocm output common mode voltage r l = 100 1,650 2,250 mv r l receiver differential input resistor 90 100 110 note to table 4?23 : (1) the top and bottom clock input differential buffer s in i/o banks 3, 4, 7, and 8 are powered by v ccint , not v ccio . the pll clock output/feedback differential buffers are powered by vcc_pll_out . for differential clock output/feedback operation, connect vcc_pll_out to 3.3 v. table 4?24. 3.3-v pci specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 3.0 3.3 3.6 v v ih high-level input voltage 0.5 v ccio v ccio + 0.5 v v il low-level input voltage ?0.3 0.3 v ccio v v oh high-level output voltage i out = ?500 a 0.9 v ccio v v ol low-level output voltage i out = 1,500 a 0.1 v ccio v table 4?25. pci-x mode 1 specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 3.0 3.6 v v ih high-level input voltage 0.5 v ccio v ccio + 0.5 v v il low-level input voltage ?0.3 0.35 v ccio v v ipu input pull-up voltage 0.7 v ccio v v oh high-level output voltage i out = ?500 a 0.9 v ccio v v ol low-level output voltage i out = 1,500 a 0.1 v ccio v
4?26 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions table 4?26. sstl-18 clas s i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.8 1.89 v v ref reference voltage 0.855 0.9 0.945 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ih (dc) high-level dc input voltage v ref + 0.125 v v il (dc) low-level dc input voltage v ref ? 0.125 v v ih (ac) high-level ac input voltage v ref + 0.25 v v il (ac) low-level ac input voltage v ref ? 0.25 v v oh high-level output voltage i oh = ?6.7 ma (1) v tt + 0.475 v v ol low-level output voltage i ol = 6.7 ma (1) v tt ? 0.475 v note to table 4?26 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?27. sstl-18 clas s ii specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.8 1.89 v v ref reference voltage 0.855 0.9 0.945 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ih (dc) high-level dc input voltage v ref + 0.125 v v il (dc) low-level dc input voltage v ref ? 0.125 v v ih (ac) high-level ac input voltage v ref + 0.25 v v il (ac) low-level ac input voltage v ref ? 0.25 v v oh high-level output voltage i oh = ?13.4 ma (1) v ccio ? 0.28 v v ol low-level output voltage i ol = 13.4 ma (1) 0.28 v note to table 4?27 : (1) this specification is supported across all the programmabl e drive settings available for this i/o standard as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook .
altera corporation 4?27 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?28. sstl-18 class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.8 1.89 v v swing (dc) dc differential input voltage 0.25 v v x (ac) ac differential input cross point voltage (v ccio /2) ? 0.175 (v ccio /2) + 0.175 v v swing (ac) ac differential input voltage 0.5 v v iso input clock signal offset voltage 0.5 v ccio v v iso input clock signal offset voltage variation 200 mv v ox (ac) ac differential cross point voltage (v ccio /2) ? 0.125 (v ccio /2) + 0.125 v table 4?29. sstl-2 class i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 2.375 2.5 2.625 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ref reference voltage 1.188 1.25 1.313 v v ih (dc) high-level dc input voltage v ref + 0.18 3.0 v v il (dc) low-level dc input voltage ?0.3 v ref ? 0.18 v v ih (ac) high-level ac input voltage v ref + 0.35 v v il (ac) low-level ac input voltage v ref ? 0.35 v v oh high-level output voltage i oh = ?8.1 ma (1) v tt + 0.57 v v ol low-level output voltage i ol = 8.1 ma (1) v tt ? 0.57 v note to table 4?29 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?30. sstl-2 class ii specifications (part 1 of 2) symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 2.375 2.5 2.625 v v tt termination voltage v ref ? 0.04 v ref v ref + 0.04 v v ref reference voltage 1.188 1.25 1.313 v
4?28 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions v ih (dc) high-level dc input voltage v ref + 0.18 v ccio + 0.3 v v il (dc) low-level dc input voltage ?0.3 v ref ? 0.18 v v ih (ac) high-level ac input voltage v ref + 0.35 v v il (ac) low-level ac input voltage v ref ? 0.35 v v oh high-level output voltage i oh = ?16.4 ma (1) v tt + 0.76 v v ol low-level output voltage i ol = 16.4 ma (1) v tt ? 0.76 v note to table 4?30 : (1) this specification is supported across all the programmable drive settings available fo r this i/o standard as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?31. sstl-2 class i & ii differential specifications note (1) symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 2.375 2.5 2.625 v v swing (dc) dc differential input voltage 0.36 v v x (ac) ac differential input cross point voltage (v ccio /2) ? 0.2 (v ccio /2) + 0.2 v v swing (ac) ac differential input voltage 0.7 v v iso input clock signal offset voltage 0.5 v ccio v v iso input clock signal offset voltage variation 200 mv v ox (ac) ac differential output cross point voltage (v ccio /2) ? 0.2 (v ccio /2) + 0.2 v note to table 4?31 : (1) this specification is supported across all the programmable drive settings available for this i/o standard as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?32. 1.2-v hstl specifications (part 1 of 2) symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.14 1.2 1.26 v v ref reference voltage 0.48 v ccio 0.5 v ccio 0.52 v ccio v v ih (dc) high-level dc input voltage v ref + 0.08 v ccio + 0.15 v v il (dc) low-level dc input voltage ?0.15 v ref ? 0.08 v v ih (ac) high-level ac input voltage v ref + 0.15 v ccio + 0.24 v table 4?30. sstl-2 class ii specifications (part 2 of 2) symbol parameter conditions minimum typical maximum unit
altera corporation 4?29 may 2008 arria gx device handbook, volume 1 dc and switching characteristics v il (ac) low-level ac input voltage ?0.24 v ref ? 0.15 v v oh high-level output voltage i oh = 8 ma v ref + 0.15 v ccio + 0.15 v v ol low-level output voltage i oh = ?8 ma ?0.15 v ref ? 0.15 v table 4?33. 1.5-v hstl class i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.425 1.5 1.575 v v ref input reference voltage 0.713 0.75 0.788 v v tt termination voltage 0.713 0.75 0.788 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 8 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?8 ma (1) 0.4 v note to table 4?33 : (1) this specification is supported across all the programmable drive settings available fo r this i/o standard as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?34. 1.5-v hstl class ii specifications (part 1 of 2) symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.425 1.50 1.575 v v ref input reference voltage 0.713 0.75 0.788 v v tt termination voltage 0.713 0.75 0.788 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 16 ma (1) v ccio ? 0.4 v table 4?32. 1.2-v hstl specifications (part 2 of 2) symbol parameter conditions minimum typical maximum unit
4?30 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions v ol low-level output voltage i oh = ?16 ma (1) 0.4 v note to table 4?34 : (1) this specification is supported acro ss all the programmable drive settings available for this i/o standard, as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?35. 1.5-v hstl class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio i/o supply voltage 1.425 1.5 1.575 v v dif (dc) dc input differential voltage 0.2 v v cm (dc) dc common mode input voltage 0.68 0.9 v v dif (ac) ac differential input voltage 0.4 v v ox (ac) ac differential cross point voltage 0.68 0.9 v table 4?36. 1.8-v hstl class i specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v ref input reference voltage 0.85 0.90 0.95 v v tt termination voltage 0.85 0.90 0.95 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 8 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?8 ma (1) 0.4 v note to table 4?36 : (1) this specification is supported acro ss all the programmable drive settings available for this i/o standard, as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?34. 1.5-v hstl class ii specifications (part 2 of 2) symbol parameter conditions minimum typical maximum unit
altera corporation 4?31 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?37. 1.8-v hstl class ii specifications symbol parameter conditions minimum typical maximum unit v ccio output supply voltage 1.71 1.80 1.89 v v ref input reference voltage 0.85 0.90 0.95 v v tt termination voltage 0.85 0.90 0.95 v v ih (dc) dc high-level input voltage v ref + 0.1 v v il (dc) dc low-level input voltage ?0.3 v ref ? 0.1 v v ih (ac) ac high-level input voltage v ref + 0.2 v v il (ac) ac low-level input voltage v ref ? 0.2 v v oh high-level output voltage i oh = 16 ma (1) v ccio ? 0.4 v v ol low-level output voltage i oh = ?16 ma (1) 0.4 v note to table 4?37 : (1) this specification is supported acro ss all the programmable drive settings available for this i/o standard, as shown in the arria gx architecture chapter in volume 1 of the arria gx device handbook . table 4?38. 1.8-v hstl class i & ii differential specifications symbol parameter conditions minimum typical maximum unit v ccio i/o supply voltage 1.71 1.80 1.89 v v dif (dc) dc input differential voltage 0.2 v v cm (dc) dc common mode input voltage 0.78 1.12 v v dif (ac) ac differential input voltage 0.4 v v ox (ac) ac differential cross point voltage 0.68 0.9 v
4?32 altera corporation arria gx device handbook, volume 1 may 2008 operating conditions bus hold specifications table 4?39 shows the arria gx device family bus hold specifications. on-chip termination specifications tables 4?40 and 4?41 define the specification for internal termination resistance tolerance when using series or differential on-chip termination. table 4?39. bus hold parameters parameter conditions v ccio level unit 1.2 v 1.5 v 1.8 v 2.5 v 3.3 v min max min max min max min max min max low sustaining current v in > v il (maximum) 22.5 25 30 50 70 a high sustaining current v in < v ih (minimum) ?22.5 ?25 ?30 ?50 ?70 a low overdrive current 0 v < v in < v ccio 120 160 200 300 500 a high overdrive current 0 v < v in < v ccio ?120 ?160 ?200 ?300 ?500 a bus-hold trip point 0.45 0.95 0.5 1.0 0.68 1.07 0.7 1.7 0.8 2.0 v table 4?40. series on-chip termi nation specification for top and bottom i/o banks (part 1 of 2) symbol description conditions resistance tolerance commercial max industrial max unit 25- r s 3.3/2.5 internal series termination without calibration (25- setting ) v ccio = 3.3/2.5v 30 30 % 50- r s 3.3/2.5 internal series termination without calibration (50- setting ) v ccio = 3.3/2.5v 30 30 % 25- r s 1.8 internal series termination without calibration (25- setting ) v ccio = 1.8v 30 30 % 50- r s 1.8 internal series termination without calibration (50- setting ) v ccio = 1.8v 30 30 %
altera corporation 4?33 may 2008 arria gx device handbook, volume 1 dc and switching characteristics pin capacitance table 4?42 shows the arria gx device family pin capacitance. 50- r s 1.5 internal series termination without calibration (50- setting ) v ccio = 1.5v 36 36 % 50- r s 1.2 internal series termination without calibration (50- setting ) v ccio = 1.2v 50 50 % table 4?41. series on-chip terminati on specification fo r left i/o banks symbol description conditions resistance tolerance commercial max industrial max unit 25- r s 3.3/2.5 internal series termination without calibration (25- setting ) v ccio = 3.3/2.5v 30 30 % 50- r s 3.3/2.5/1.8 internal series termination without calibration (50- setting ) v ccio = 3.3/2.5/1.8v 30 30 % 50- r s 1.5 internal series termination without calibration (50- setting ) v ccio = 1.5v 36 36 % r d internal differential termination for lvds (100- setting) v ccio = 3.3 v 20 25 % table 4?40. series on-chip termi nation specification for top and bottom i/o banks (part 2 of 2) symbol description conditions resistance tolerance commercial max industrial max unit table 4?42. arria gx device capacitance note (1) (part 1 of 2) symbol parameter typical unit c iotb input capacitance on i/o pins in i/o banks 3, 4, 7, and 8. 5.0 pf c iol input capacitance on i/o pins in i/o banks 1 and 2, including high-speed differential receiver and transmitter pins. 6.1 pf c clktb input capacitance on top/bottom clock input pins: clk[4..7] and clk[12..15] . 6.0 pf c clkl input capacitance on left clock inputs: clk0 and clk2 . 6.1 pf c clkl+ input capacitance on left clock inputs: clk1 and clk3 . 3.3 pf
4?34 altera corporation arria gx device handbook, volume 1 may 2008 power consumption power consumption altera offers two ways to calculate power for a design: the excel-based powerplay early power estimator powe r calculator and the quartus ii powerplay power analyzer feature. the interactive excel-based powerplay early power estimator is typically used prior to designing the fpga in order to get an estimate of device power. the quartus ii powerplay power analyzer provides better quality estimates based on the specifics of the design after place-and-route is complete. the power analyzer can apply a combination of user-entered, simulation-derived and estimated signa l activities which, combined with detailed circuit models, can yield very accurate power estimates. in both cases, these calculations should only be used as an estimation of power, not as a specification. f for more information on powerplay tools, refer to the powerplay early power estimator and po werplay power analyzer paper and the powerplay power analysis chapter in volume 3 of the quartus ii handbook . the powerplay early power estimator is available on the altera web site at www.altera. com . see table 4?14 on page 18 for typical i cc standby specifications. i/o timing model the directdrive technology and mu ltitrack interconnect ensures predictable performance, accurate simulation, and ac curate timing analysis across al l arria gx device densities and speed grades. this section describes and specifies the performance of i/os. all specifications are representative of worst-case supply voltage and junction temperature conditions. 1 the timing numbers listed in th e tables of this section are extracted from the quartus ii software, version 7.1. c outfb input capacitance on dual-purpose clock output/feedback pins in pll banks 11 and 12. 6.7 pf note to table 4?42 : (1) capacitance is sample-tested only. capacitance is me asured using time-domain reflections (tdr). measurement accuracy is within 0.5 pf. table 4?42. arria gx device capacitance note (1) (part 2 of 2) symbol parameter typical unit
altera corporation 4?35 may 2008 arria gx device handbook, volume 1 dc and switching characteristics preliminary, correlated, and final timing timing models can have either preliminary, correlated, or final status. the quartus ii software issues an info rmational message during design compilation if the timing models are preliminary. table 4?43 shows the status of the arria gx device timing models. preliminary status means the timing model is subject to change. initially, timing numbers are created using simulation results, process data, and other known parameters. these tests are used to make the preliminary numbers as close to the actual timing parameters as possible. correlated numbers are based on actual device operation and testing. these numbers reflect the actual performance of the device under worst-case voltage and junction temperature conditions. final timing numbers are based on complete correlation to actual devices and addressing any minor deviations from the correlated timing model. when the timing mode ls are final, all or most of the arria gx family devices have been completely characterized and no further changes to the timi ng model are expected. i/o timing measurement methodology different i/o standards require different baseline loading techniques for reporting timing delays. altera char acterizes timing delays with the required termination for each i/o st andard and with 0 pf (except for pci and pci-x which use 10 pf) loading and the timing is specified up to the output pin of the fpga device. the quartus ii software calculates the i/o timing for each i/o standard wi th a default baseline loading as specified by the i/o standards. the following measurements are made during device characterization. altera measures clock-to-output delays (t co ) at worst-case process, minimum voltage, and maximum temperature (pvt) for default loading conditions shown in table 4?44 . table 4?43. arria gx device timing model status device preliminary correlated final ep1agx20 v ep1agx35 v ep1agx50 v ep1agx60 v ep1agx90 v
4?36 altera corporation arria gx device handbook, volume 1 may 2008 i/o timing model use the following equations to calculat e clock pin to output pin timing for arria gx devices: t co from clock pin to i/o pin = de lay from clock pad to i/o output register + ioe output register clock-to-output delay + delay from output register to outp ut pin + i/o output delay t xz /t zx from clock pin to i/o pin = delay from clock pad to i/o output register + ioe output re gister clock-to-output delay + delay from output register to output pin + i/o output delay + output enable pin delay simulation using ibis models is required to determine the delays on the pcb traces in addition to the output pin delay timing reported by the quartus ii software and the timing model in the device handbook. 1. simulate the output driver of choi ce into the generalized test setup, using values from table 4?44 . 2. record the time to v meas . 3. simulate the output driver of ch oice into the actual pcb trace and load, using the appropriate ibis model or capacitance value to represent the load. 4. record the time to v meas . 5. compare the results of steps 2 and 4. the increase or decrease in delay should be added to or subt racted from the i/o standard output adder delays to yield the actual worst-case propagation delay (clock-to-output) of the pcb trace. the quartus ii software reports the ti ming with the conditions shown in table 4?44 using the above equation. figure 4?7 shows the model of the circuit that is represented by the outp ut timing of the quartus ii software.
altera corporation 4?37 may 2008 arria gx device handbook, volume 1 dc and switching characteristics figure 4?7. output delay timing reporting setup modeled by quartus ii notes to figure 4?7 : (1) output pin timing is reported at the ou tput pin of the fpga device. additional delays for loading and board trace delay need to be accounted for with ibis model simulations. (2) v ccpd is 3.085 v unless otherwise specified. (3) v ccint is 1.12 v unless otherwise specified. output buffer v tt v ccio r d output n output p r t c l r s v meas output gnd gnd table 4?44. output timing measuremen t methodology for output pins notes (1) , (2) , (3) (part 1 of 2) i/o standard loading and termination measurement point r s ( ) r d ( )r t ( )v ccio (v) v tt (v) c l (pf) v meas (v) lv t t l (4) 3.135 0 1.5675 lv c m o s (4) 3.135 0 1.5675 2.5 v (4) 2.375 0 1.1875 1.8 v (4) 1.710 0 0.855 1.5 v (4) 1.425 0 0.7125 pci (5) 2.970 10 1.485 pci-x (5) 2.970 10 1.485 sstl-2 class i 25 50 2.325 1.123 0 1.1625 sstl-2 class ii 25 25 2.325 1.123 0 1.1625 sstl-18 class i 25 50 1.660 0.790 0 0.83 sstl-18 class ii 25 25 1.660 0.790 0 0.83 1.8-v hstl class i 50 1.660 0.790 0 0.83 1.8-v hstl class ii 25 1.660 0.790 0 0.83 1.5-v hstl class i 50 1.375 0.648 0 0.6875 1.5-v hstl class ii 25 1.375 0.648 0 0.6875 1.2-v hstl with oct 1.140 0 0.570 differential sstl-2 class i 25 50 2.325 1.123 0 1.1625
4?38 altera corporation arria gx device handbook, volume 1 may 2008 i/o timing model differential sstl-2 class ii 25 25 2.325 1.123 0 1.1625 differential sstl-18 class i 50 50 1.660 0.790 0 0.83 differential sstl-18 class ii 25 25 1.660 0.790 0 0.83 1.5-v differential hstl class i 50 1.375 0.648 0 0.6875 1.5-v differential hstl class ii 25 1.375 0.648 0 0.6875 1.8-v differential hstl class i 50 1.660 0.790 0 0.83 1.8-v differential hstl class ii 25 1.660 0.790 0 0.83 lvds 100 2.325 0 1.1625 lvpecl 100 3.135 0 1.5675 notes to table 4?44 : (1) input measurement point at internal node is 0.5 v ccint . (2) output measuring point for v meas at buffer output is 0.5 v ccio . (3) input stimulus edge rate is 0 to v cc in 0.2 ns (internal signal) from th e driver preceding the i/o buffer. (4) less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v with less than 30-mv ripple. (5) v ccpd = 2.97 v, less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v. table 4?44. output timing measuremen t methodology for output pins notes (1) , (2) , (3) (part 2 of 2) i/o standard loading and termination measurement point r s ( ) r d ( )r t ( )v ccio (v) v tt (v) c l (pf) v meas (v)
altera corporation 4?39 may 2008 arria gx device handbook, volume 1 dc and switching characteristics figures 4?8 and 4?9 show the measurement setup for output disable and output enable timing. figure 4?8. measurement setup for t xz note (1) note to figure 4?8 : (1) v ccint is 1.12 v for this measurement. t xz , driving high to tristate t xz , driving low to tristate 100 din oe dout v ccio oe enable disable dout din t lz 100 mv ? v ccint ?0? 100 din oe dout oe enable disable dout din t hz 100 mv ? v ccint ?1? gnd
4?40 altera corporation arria gx device handbook, volume 1 may 2008 i/o timing model figure 4?9. measurement setup for t zx table 4?45 specifies the input ti ming measurement setup. t zx , tristate to driving high t zx , tristate to driving low 1 m din oe dout 1 m din oe dout oe disable enable dout din t zh ? v ccint ?1? ? v cci o oe disable enable dout din ? v ccint ?0? t zl ? v cci o table 4?45. timing measurement methodology for input pins notes (1) , (2) , (3) , (4) (part 1 of 2) i/o standard measurement conditions measurement point v ccio (v) v ref (v) edge rate (ns) vmeas (v) lv t t l (5) 3.135 3.135 1.5675 lv c m o s (5) 3.135 3.135 1.5675 2.5 v (5) 2.375 2.375 1.1875 1.8 v (5) 1.710 1.710 0.855 1.5 v (5) 1.425 1.425 0.7125 pci (6) 2.970 2.970 1.485 pci-x (6) 2.970 2.970 1.485 sstl-2 class i 2.325 1.163 2.325 1.1625 sstl-2 class ii 2.325 1.163 2.325 1.1625 sstl-18 class i 1.660 0.830 1.660 0.83 sstl-18 class ii 1.660 0.830 1.660 0.83 1.8-v hstl class i 1.660 0.830 1.660 0.83
altera corporation 4?41 may 2008 arria gx device handbook, volume 1 dc and switching characteristics clock network skew adders the quartus ii software models skew within dedicated clock networks such as global and regional clocks . therefore, the intra-clock network skew adder is not specified. table 4?46 specifies the clock skew between any two clock networks driving re gisters in the i/o element (ioe). 1.8-v hstl class ii 1.660 0.830 1.660 0.83 1.5-v hstl class i 1.375 0.688 1.375 0.6875 1.5-v hstl class ii 1.375 0.688 1.375 0.6875 1.2-v hstl with oct 1.140 0.570 1.140 0.570 differential sstl-2 class i 2.325 1.163 2.325 1.1625 differential sstl-2 class ii 2.325 1.163 2.325 1.1625 differential sstl-18 class i 1.660 0.830 1.660 0.83 differential sstl-18 class ii 1.660 0.830 1.660 0.83 1.5-v differential hstl class i 1.375 0.688 1.375 0.6875 1.5-v differential hstl class ii 1.375 0.688 1.375 0.6875 1.8-v differential hstl class i 1.660 0.830 1.660 0.83 1.8-v differential hstl class ii 1.660 0.830 1.660 0.83 lvds 2.325 0.100 1.1625 lvpecl 3.135 0.100 1.5675 notes to table 4?45 : (1) input buffer sees no load at buffer input. (2) input measuring point at buffer input is 0.5 v ccio . (3) output measuring point is 0.5 v cc at internal node. (4) input edge rate is 1 v/ns. (5) less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v with less than 30-mv ripple. (6) v ccpd = 2.97 v, less than 50-mv ripple on v ccio and v ccpd , v ccint = 1.15 v. table 4?45. timing measurement methodology for input pins notes (1) , (2) , (3) , (4) (part 2 of 2) i/o standard measurement conditions measurement point v ccio (v) v ref (v) edge rate (ns) vmeas (v) table 4?46. clock network specifications (part 1 of 2) name description min typ max unit clock skew adder ep1agx20/35 (1) inter-clock network, same side 50 ps inter-clock network, entire chip 100 ps clock skew adder ep1agx50/60 (1) inter-clock network, same side 50 ps inter-clock network, entire chip 100 ps
4?42 altera corporation arria gx device handbook, volume 1 may 2008 i/o timing model default capacitive loading of different i/o standards see table 4?47 for default capacitive loading of different i/o standards. clock skew adder ep1agx90 (1) inter-clock network, same side 55 ps inter-clock network, entire chip 110 ps notes to table 4?46 : (1) this is in addition to intra-clock network sk ew, which is modeled in the quartus ii software. table 4?46. clock network specifications (part 2 of 2) name description min typ max unit table 4?47. default loading of different i/o standards for arria gx devices (part 1 of 2) i/o standard capacitive load unit lvttl 0 pf lvcmos 0 pf 2.5 v 0 pf 1.8 v 0 pf 1.5 v 0 pf pci 10 pf pci-x 10 pf sstl-2 class i 0 pf sstl-2 class ii 0 pf sstl-18 class i 0 pf sstl-18 class ii 0 pf 1.5-v hstl class i 0 pf 1.5-v hstl class ii 0 pf 1.8-v hstl class i 0 pf 1.8-v hstl class ii 0 pf differential sstl-2 class i 0 pf differential sstl-2 class ii 0 pf differential sstl-18 class i 0 pf differential sstl-18 class ii 0 pf 1.5-v differential hstl class i 0 pf 1.5-v differential hstl class ii 0 pf 1.8-v differential hstl class i 0 pf
altera corporation 4?43 may 2008 arria gx device handbook, volume 1 dc and switching characteristics typical design performance the following section describes the typical design performance for the arria gx device family. user i/o pin timing tables 4?48 to 4?77 show user i/o pin timing for arria gx devices. i/o buffer t su , t h , and t co are reported for the cases when i/o clock is driven by a non-pll global clock ( gclk ) and a pll driven global clock ( gclk-pll ). for t su , t h , and t co using regional clock, add the value from the adder tables listed for each device to the gclk/gclk-pll values for the device. ep1agx20 i/o timing parameters tables 4?48 through 4?51 show the maximum i/o timing parameters for ep1agx20 devices for i/o standards which support general purpose i/o pins. table 4?48 describes the row pin delay adders when using the regional clock in arria gx devices. 1.8-v differential hstl class ii 0 pf lv d s 0 p f table 4?47. default loading of different i/o standards for arria gx devices (part 2 of 2) i/o standard capacitive load unit table 4?48. ep1agx20 row pin de lay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.117 0.117 0.273 ns rclk pll input adder 0.011 0.011 0.019 ns rclk output adder -0.117 -0.117 -0.273 ns rclk pll output adder -0.011 -0.011 -0.019 ns
4?44 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?49 describes i/o timing specifications. table 4?49. ep1agx20 column pins input timing parameters (part 1 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.251 1.251 2.915 ns t h -1.146 -1.146 -2.638 ns gclk pll t su 2.693 2.693 6.021 ns t h -2.588 -2.588 -5.744 ns 3.3-v lv c m o s gclk t su 1.251 1.251 2.915 ns t h -1.146 -1.146 -2.638 ns gclk pll t su 2.693 2.693 6.021 ns t h -2.588 -2.588 -5.744 ns 2.5 v gclk t su 1.261 1.261 2.897 ns t h -1.156 -1.156 -2.620 ns gclk pll t su 2.703 2.703 6.003 ns t h -2.598 -2.598 -5.726 ns 1.8 v gclk t su 1.327 1.327 3.107 ns t h -1.222 -1.222 -2.830 ns gclk pll t su 2.769 2.769 6.213 ns t h -2.664 -2.664 -5.936 ns 1.5 v gclk t su 1.330 1.330 3.200 ns t h -1.225 -1.225 -2.923 ns gclk pll t su 2.772 2.772 6.306 ns t h -2.667 -2.667 -6.029 ns sstl-2 class i gclk t su 1.075 1.075 2.372 ns t h -0.970 -0.970 -2.095 ns gclk pll t su 2.517 2.517 5.480 ns t h -2.412 -2.412 -5.203 ns sstl-2 class ii gclk t su 1.075 1.075 2.372 ns t h -0.970 -0.970 -2.095 ns gclk pll t su 2.517 2.517 5.480 ns t h -2.412 -2.412 -5.203 ns
altera corporation 4?45 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-18 class i gclk t su 1.113 1.113 2.479 ns t h -1.008 -1.008 -2.202 ns gclk pll t su 2.555 2.555 5.585 ns t h -2.450 -2.450 -5.308 ns sstl-18 class ii gclk t su 1.114 1.114 2.479 ns t h -1.009 -1.009 -2.202 ns gclk pll t su 2.556 2.556 5.587 ns t h -2.451 -2.451 -5.310 ns 1.8-v hstl class i gclk t su 1.113 1.113 2.479 ns t h -1.008 -1.008 -2.202 ns gclk pll t su 2.555 2.555 5.585 ns t h -2.450 -2.450 -5.308 ns 1.8-v hstl class ii gclk t su 1.114 1.114 2.479 ns t h -1.009 -1.009 -2.202 ns gclk pll t su 2.556 2.556 5.587 ns t h -2.451 -2.451 -5.310 ns 1.5-v hstl class i gclk t su 1.131 1.131 2.607 ns t h -1.026 -1.026 -2.330 ns gclk pll t su 2.573 2.573 5.713 ns t h -2.468 -2.468 -5.436 ns 1.5-v hstl class ii gclk t su 1.132 1.132 2.607 ns t h -1.027 -1.027 -2.330 ns gclk pll t su 2.574 2.574 5.715 ns t h -2.469 -2.469 -5.438 ns 3.3-v pci gclk t su 1.256 1.256 2.903 ns t h -1.151 -1.151 -2.626 ns gclk pll t su 2.698 2.698 6.009 ns t h -2.593 -2.593 -5.732 ns table 4?49. ep1agx20 column pins input timing parameters (part 2 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial
4?46 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?50 describes i/o timing specifications. 3.3-v pci-x gclk t su 1.256 1.256 2.903 ns t h -1.151 -1.151 -2.626 ns gclk pll t su 2.698 2.698 6.009 ns t h -2.593 -2.593 -5.732 ns lv d s gclk t su 1.106 1.106 2.489 ns t h -1.001 -1.001 -2.212 ns gclk pll t su 2.530 2.530 5.564 ns t h -2.425 -2.425 -5.287 ns table 4?49. ep1agx20 column pins input timing parameters (part 3 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial table 4?50. ep1agx20 row pins output timing parameters (part 1 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 2.904 2.904 6.699 ns gclk pll t co 1.485 1.485 3.627 ns 3.3-v lv t t l 8ma gclk t co 2.776 2.776 6.059 ns gclk pll t co 1.357 1.357 2.987 ns 3.3-v lv t t l 12 ma gclk t co 2.720 2.720 6.022 ns gclk pll t co 1.301 1.301 2.950 ns 3.3-v lv c m o s 4ma gclk t co 2.776 2.776 6.059 ns gclk pll t co 1.357 1.357 2.987 ns 3.3-v lv c m o s 8ma gclk t co 2.670 2.670 5.753 ns gclk pll t co 1.251 1.251 2.681 ns 2.5 v 4 ma gclk t co 2.759 2.759 6.033 ns gclk pll t co 1.340 1.340 2.961 ns 2.5 v 8 ma gclk t co 2.656 2.656 5.775 ns gclk pll t co 1.237 1.237 2.703 ns 2.5 v 12 ma gclk t co 2.637 2.637 5.661 ns gclk pll t co 1.218 1.218 2.589 ns
altera corporation 4?47 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 1.8 v 2 ma gclk t co 2.829 2.829 7.052 ns gclk pll t co 1.410 1.410 3.980 ns 1.8 v 4 ma gclk t co 2.818 2.818 6.273 ns gclk pll t co 1.399 1.399 3.201 ns 1.8 v 6 ma gclk t co 2.707 2.707 5.972 ns gclk pll t co 1.288 1.288 2.900 ns 1.8 v 8 ma gclk t co 2.676 2.676 5.858 ns gclk pll t co 1.257 1.257 2.786 ns 1.5 v 2 ma gclk t co 2.789 2.789 6.551 ns gclk pll t co 1.370 1.370 3.479 ns 1.5 v 4 ma gclk t co 2.682 2.682 5.950 ns gclk pll t co 1.263 1.263 2.878 ns sstl-2 class i 8 ma gclk t co 2.626 2.626 5.614 ns gclk pll t co 1.207 1.207 2.542 ns sstl-2 class i 12 ma gclk t co 2.602 2.602 5.538 ns gclk pll t co 1.183 1.183 2.466 ns sstl-2 class ii 16 ma gclk t co 2.568 2.568 5.407 ns gclk pll t co 1.149 1.149 2.335 ns sstl-18 class i 4ma gclk t co 2.614 2.614 5.556 ns gclk pll t co 1.195 1.195 2.484 ns sstl-18 class i 6ma gclk t co 2.618 2.618 5.485 ns gclk pll t co 1.199 1.199 2.413 ns sstl-18 class i 8ma gclk t co 2.594 2.594 5.468 ns gclk pll t co 1.175 1.175 2.396 ns sstl-18 class i 10 ma gclk t co 2.597 2.597 5.447 ns gclk pll t co 1.178 1.178 2.375 ns 1.8-v hstl class i 4ma gclk t co 2.595 2.595 5.466 ns gclk pll t co 1.176 1.176 2.394 ns 1.8-v hstl class i 6ma gclk t co 2.598 2.598 5.430 ns gclk pll t co 1.179 1.179 2.358 ns table 4?50. ep1agx20 row pins output timing parameters (part 2 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial
4?48 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?51 describes i/o timing specifications. 1.8-v hstl class i 8ma gclk t co 2.580 2.580 5.426 ns gclk pll t co 1.161 1.161 2.354 ns 1.8-v hstl class i 10 ma gclk t co 2.584 2.584 5.415 ns gclk pll t co 1.165 1.165 2.343 ns 1.8-v hstl class i 12 ma gclk t co 2.575 2.575 5.414 ns gclk pll t co 1.156 1.156 2.342 ns 1.5-v hstl class i 4ma gclk t co 2.594 2.594 5.443 ns gclk pll t co 1.175 1.175 2.371 ns 1.5-v hstl class i 6 ma gclk t co 2.597 2.597 5.429 ns gclk pll t co 1.178 1.178 2.357 ns 1.5-v hstl class i 8ma gclk t co 2.582 2.582 5.421 ns gclk pll t co 1.163 1.163 2.349 ns lv d s - gclk t co 2.654 2.654 5.613 ns gclk pll t co 1.226 1.226 2.530 ns table 4?50. ep1agx20 row pins output timing parameters (part 3 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial table 4?51. ep1agx20 column pins output timing parameters (part 1 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 2.909 2.909 6.541 ns gclk pll t co 1.467 1.467 3.435 ns 3.3-v lv t t l 8ma gclk t co 2.764 2.764 6.169 ns gclk pll t co 1.322 1.322 3.063 ns 3.3-v lv t t l 12 ma gclk t co 2.697 2.697 6.169 ns gclk pll t co 1.255 1.255 3.063 ns 3.3-v lv t t l 16 ma gclk t co 2.671 2.671 6.000 ns gclk pll t co 1.229 1.229 2.894 ns 3.3-v lv t t l 20 ma gclk t co 2.649 2.649 5.875 ns gclk pll t co 1.207 1.207 2.769 ns
altera corporation 4?49 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv t t l 24 ma gclk t co 2.642 2.642 5.877 ns gclk pll t co 1.200 1.200 2.771 ns 3.3-v lv c m o s 4ma gclk t co 2.764 2.764 6.169 ns gclk pll t co 1.322 1.322 3.063 ns 3.3-v lv c m o s 8ma gclk t co 2.672 2.672 5.874 ns gclk pll t co 1.230 1.230 2.768 ns 3.3-v lv c m o s 12 ma gclk t co 2.644 2.644 5.796 ns gclk pll t co 1.202 1.202 2.690 ns 3.3-v lv c m o s 16 ma gclk t co 2.651 2.651 5.764 ns gclk pll t co 1.209 1.209 2.658 ns 3.3-v lv c m o s 20 ma gclk t co 2.638 2.638 5.746 ns gclk pll t co 1.196 1.196 2.640 ns 3.3-v lv c m o s 24 ma gclk t co 2.627 2.627 5.724 ns gclk pll t co 1.185 1.185 2.618 ns 2.5 v 4 ma gclk t co 2.726 2.726 6.201 ns gclk pll t co 1.284 1.284 3.095 ns 2.5 v 8 ma gclk t co 2.674 2.674 5.939 ns gclk pll t co 1.232 1.232 2.833 ns 2.5 v 12 ma gclk t co 2.653 2.653 5.822 ns gclk pll t co 1.211 1.211 2.716 ns 2.5 v 16 ma gclk t co 2.635 2.635 5.748 ns gclk pll t co 1.193 1.193 2.642 ns 1.8 v 2 ma gclk t co 2.766 2.766 7.193 ns gclk pll t co 1.324 1.324 4.087 ns 1.8 v 4 ma gclk t co 2.771 2.771 6.419 ns gclk pll t co 1.329 1.329 3.313 ns 1.8 v 6 ma gclk t co 2.695 2.695 6.155 ns gclk pll t co 1.253 1.253 3.049 ns 1.8 v 8 ma gclk t co 2.697 2.697 6.064 ns gclk pll t co 1.255 1.255 2.958 ns table 4?51. ep1agx20 column pins output timing parameters (part 2 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?50 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance 1.8 v 10 ma gclk t co 2.651 2.651 5.987 ns gclk pll t co 1.209 1.209 2.881 ns 1.8 v 12 ma gclk t co 2.652 2.652 5.930 ns gclk pll t co 1.210 1.210 2.824 ns 1.5 v 2 ma gclk t co 2.746 2.746 6.723 ns gclk pll t co 1.304 1.304 3.617 ns 1.5 v 4 ma gclk t co 2.682 2.682 6.154 ns gclk pll t co 1.240 1.240 3.048 ns 1.5 v 6 ma gclk t co 2.685 2.685 6.036 ns gclk pll t co 1.243 1.243 2.930 ns 1.5 v 8 ma gclk t co 2.644 2.644 5.983 ns gclk pll t co 1.202 1.202 2.877 ns sstl-2 class i 8ma gclk t co 2.629 2.629 5.762 ns gclk pll t co 1.184 1.184 2.650 ns sstl-2 class i 12 ma gclk t co 2.612 2.612 5.712 ns gclk pll t co 1.167 1.167 2.600 ns sstl-2 class ii 16 ma gclk t co 2.590 2.590 5.639 ns gclk pll t co 1.145 1.145 2.527 ns sstl-2 class ii 20 ma gclk t co 2.591 2.591 5.626 ns gclk pll t co 1.146 1.146 2.514 ns sstl-2 class ii 24 ma gclk t co 2.587 2.587 5.624 ns gclk pll t co 1.142 1.142 2.512 ns sstl-18 class i 4ma gclk t co 2.626 2.626 5.733 ns gclk pll t co 1.184 1.184 2.627 ns sstl-18 class i 6ma gclk t co 2.630 2.630 5.694 ns gclk pll t co 1.185 1.185 2.582 ns sstl-18 class i 8ma gclk t co 2.609 2.609 5.675 ns gclk pll t co 1.164 1.164 2.563 ns sstl-18 class i 10 ma gclk t co 2.614 2.614 5.673 ns gclk pll t co 1.169 1.169 2.561 ns table 4?51. ep1agx20 column pins output timing parameters (part 3 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?51 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-18 class i 12 ma gclk t co 2.608 2.608 5.659 ns gclk pll t co 1.163 1.163 2.547 ns sstl-18 class ii 8ma gclk t co 2.597 2.597 5.625 ns gclk pll t co 1.152 1.152 2.513 ns sstl-18 class ii 16 ma gclk t co 2.609 2.609 5.603 ns gclk pll t co 1.164 1.164 2.491 ns sstl-18 class ii 18 ma gclk t co 2.605 2.605 5.611 ns gclk pll t co 1.160 1.160 2.499 ns sstl-18 class ii 20 ma gclk t co 2.605 2.605 5.609 ns gclk pll t co 1.160 1.160 2.497 ns 1.8-v hstl class i 4ma gclk t co 2.629 2.629 5.664 ns gclk pll t co 1.187 1.187 2.558 ns 1.8-v hstl class i 6ma gclk t co 2.634 2.634 5.649 ns gclk pll t co 1.189 1.189 2.537 ns 1.8-v hstl class i 8ma gclk t co 2.612 2.612 5.638 ns gclk pll t co 1.167 1.167 2.526 ns 1.8-v hstl class i 10 ma gclk t co 2.616 2.616 5.644 ns gclk pll t co 1.171 1.171 2.532 ns 1.8-v hstl class i 12 ma gclk t co 2.608 2.608 5.637 ns gclk pll t co 1.163 1.163 2.525 ns 1.8-v hstl class ii 16 ma gclk t co 2.591 2.591 5.401 ns gclk pll t co 1.146 1.146 2.289 ns 1.8-v hstl class ii 18 ma gclk t co 2.593 2.593 5.412 ns gclk pll t co 1.148 1.148 2.300 ns 1.8-v hstl class ii 20 ma gclk t co 2.593 2.593 5.421 ns gclk pll t co 1.148 1.148 2.309 ns 1.5-v hstl class i 4ma gclk t co 2.629 2.629 5.663 ns gclk pll t co 1.187 1.187 2.557 ns 1.5-v hstl class i 6ma gclk t co 2.633 2.633 5.641 ns gclk pll t co 1.188 1.188 2.529 ns table 4?51. ep1agx20 column pins output timing parameters (part 4 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?52 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance tables 4?52 through 4?53 shows ep1agx20 regional clock ( rclk ) adder values that should be added to gclk values. these adder values are used to determine i/o timing when the i /o pin is driven using the regional clock. this applies for all i/o standards supported by arria gx with general purpose i/o pins. 1.5-v hstl class i 8ma gclk t co 2.615 2.615 5.643 ns gclk pll t co 1.170 1.170 2.531 ns 1.5-v hstl class i 10 ma gclk t co 2.615 2.615 5.645 ns gclk pll t co 1.170 1.170 2.533 ns 1.5-v hstl class i 12 ma gclk t co 2.609 2.609 5.643 ns gclk pll t co 1.164 1.164 2.531 ns 1.5-v hstl class ii 16 ma gclk t co 2.596 2.596 5.455 ns gclk pll t co 1.151 1.151 2.343 ns 1.5-v hstl class ii 18 ma gclk t co 2.599 2.599 5.465 ns gclk pll t co 1.154 1.154 2.353 ns 1.5-v hstl class ii 20 ma gclk t co 2.601 2.601 5.478 ns gclk pll t co 1.156 1.156 2.366 ns 3.3-v pci - gclk t co 2.755 2.755 5.791 ns gclk pll t co 1.313 1.313 2.685 ns 3.3-v pci-x - gclk t co 2.755 2.755 5.791 ns gclk pll t co 1.313 1.313 2.685 ns lv d s - gclk t co 3.621 3.621 6.969 ns gclk pll t co 2.190 2.190 3.880 ns table 4?51. ep1agx20 column pins output timing parameters (part 5 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?53 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?52 describes row pin delay adders when using the regional clock in arria gx devices. table 4?53 describes column pin delay adders when using the regional clock in arria gx devices. table 4?52. ep1agx20 row pin de lay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.117 0.117 0.273 ns rclk pll input adder 0.011 0.011 0.019 ns rclk output adder -0.117 -0.117 -0.273 ns rclk pll output adder -0.011 -0.011 -0.019 ns table 4?53. ep1agx20 column pin delay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.081 0.081 0.223 ns rclk pll input adder -0.012 -0.012 -0.008 ns rclk output adder -0.081 -0.081 -0.224 ns rclk pll output adder 1.11 1.11 2.658 ns
4?54 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance ep1agx35 i/o timing parameters tables 4?54 through 4?57 show the maximum i/o timing parameters for ep1agx35 devices for i/o standards which support general purpose i/o pins. table 4?54 describes i/o timing specifications. table 4?54. ep1agx35 row pins input timing parameters (part 1 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.561 1.561 3.556 ns t h -1.456 -1.456 -3.279 ns gclk pll t su 2.980 2.980 6.628 ns t h -2.875 -2.875 -6.351 ns 3.3-v lv c m o s gclk t su 1.561 1.561 3.556 ns t h -1.456 -1.456 -3.279 ns gclk pll t su 2.980 2.980 6.628 ns t h -2.875 -2.875 -6.351 ns 2.5 v gclk t su 1.573 1.573 3.537 ns t h -1.468 -1.468 -3.260 ns gclk pll t su 2.992 2.992 6.609 ns t h -2.887 -2.887 -6.332 ns 1.8 v gclk t su 1.639 1.639 3.744 ns t h -1.534 -1.534 -3.467 ns gclk pll t su 3.058 3.058 6.816 ns t h -2.953 -2.953 -6.539 ns 1.5 v gclk t su 1.642 1.642 3.839 ns t h -1.537 -1.537 -3.562 ns gclk pll t su 3.061 3.061 6.911 ns t h -2.956 -2.956 -6.634 ns sstl-2 class i gclk t su 1.385 1.385 3.009 ns t h -1.280 -1.280 -2.732 ns gclk pll t su 2.804 2.804 6.081 ns t h -2.699 -2.699 -5.804 ns
altera corporation 4?55 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class ii gclk t su 1.385 1.385 3.009 ns t h -1.280 -1.280 -2.732 ns gclk pll t su 2.804 2.804 6.081 ns t h -2.699 -2.699 -5.804 ns sstl-18 class i gclk t su 1.417 1.417 3.118 ns t h -1.312 -1.312 -2.841 ns gclk pll t su 2.836 2.836 6.190 ns t h -2.731 -2.731 -5.913 ns sstl-18 class ii gclk t su 1.417 1.417 3.118 ns t h -1.312 -1.312 -2.841 ns gclk pll t su 2.836 2.836 6.190 ns t h -2.731 -2.731 -5.913 ns 1.8-v hstl class i gclk t su 1.417 1.417 3.118 ns t h -1.312 -1.312 -2.841 ns gclk pll t su 2.836 2.836 6.190 ns t h -2.731 -2.731 -5.913 ns 1.8-v hstl class ii gclk t su 1.417 1.417 3.118 ns t h -1.312 -1.312 -2.841 ns gclk pll t su 2.836 2.836 6.190 ns t h -2.731 -2.731 -5.913 ns 1.5-v hstl class i gclk t su 1.443 1.443 3.246 ns t h -1.338 -1.338 -2.969 ns gclk pll t su 2.862 2.862 6.318 ns t h -2.757 -2.757 -6.041 ns 1.5-v hstl class ii gclk t su 1.443 1.443 3.246 ns t h -1.338 -1.338 -2.969 ns gclk pll t su 2.862 2.862 6.318 ns t h -2.757 -2.757 -6.041 ns table 4?54. ep1agx35 row pins input timing parameters (part 2 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial
4?56 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?55 describes i/o timing specifications. lv d s gclk t su 1.341 1.341 3.088 ns t h -1.236 -1.236 -2.811 ns gclk pll t su 2.769 2.769 6.171 ns t h -2.664 -2.664 -5.894 ns table 4?54. ep1agx35 row pins input timing parameters (part 3 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial table 4?55. ep1agx35 column pins input timing parameters (part 1 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.251 1.251 2.915 ns t h -1.146 -1.146 -2.638 ns gclk pll t su 2.693 2.693 6.021 ns t h -2.588 -2.588 -5.744 ns 3.3-v lv c m o s gclk t su 1.251 1.251 2.915 ns t h -1.146 -1.146 -2.638 ns gclk pll t su 2.693 2.693 6.021 ns t h -2.588 -2.588 -5.744 ns 2.5 v gclk t su 1.261 1.261 2.897 ns t h -1.156 -1.156 -2.620 ns gclk pll t su 2.703 2.703 6.003 ns t h -2.598 -2.598 -5.726 ns 1.8 v gclk t su 1.327 1.327 3.107 ns t h -1.222 -1.222 -2.830 ns gclk pll t su 2.769 2.769 6.213 ns t h -2.664 -2.664 -5.936 ns 1.5 v gclk t su 1.330 1.330 3.200 ns t h -1.225 -1.225 -2.923 ns gclk pll t su 2.772 2.772 6.306 ns t h -2.667 -2.667 -6.029 ns
altera corporation 4?57 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class i gclk t su 1.075 1.075 2.372 ns t h -0.970 -0.970 -2.095 ns gclk pll t su 2.517 2.517 5.480 ns t h -2.412 -2.412 -5.203 ns sstl-2 class ii gclk t su 1.075 1.075 2.372 ns t h -0.970 -0.970 -2.095 ns gclk pll t su 2.517 2.517 5.480 ns t h -2.412 -2.412 -5.203 ns sstl-18 class i gclk t su 1.113 1.113 2.479 ns t h -1.008 -1.008 -2.202 ns gclk pll t su 2.555 2.555 5.585 ns t h -2.450 -2.450 -5.308 ns sstl-18 class ii gclk t su 1.114 1.114 2.479 ns t h -1.009 -1.009 -2.202 ns gclk pll t su 2.556 2.556 5.587 ns t h -2.451 -2.451 -5.310 ns 1.8-v hstl class i gclk t su 1.113 1.113 2.479 ns t h -1.008 -1.008 -2.202 ns gclk pll t su 2.555 2.555 5.585 ns t h -2.450 -2.450 -5.308 ns 1.8-v hstl class ii gclk t su 1.114 1.114 2.479 ns t h -1.009 -1.009 -2.202 ns gclk pll t su 2.556 2.556 5.587 ns t h -2.451 -2.451 -5.310 ns 1.5-v hstl class i gclk t su 1.131 1.131 2.607 ns t h -1.026 -1.026 -2.330 ns gclk pll t su 2.573 2.573 5.713 ns t h -2.468 -2.468 -5.436 ns table 4?55. ep1agx35 column pins input timing parameters (part 2 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial
4?58 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?56 describes i/o timing specifications. 1.5-v hstl class ii gclk t su 1.132 1.132 2.607 ns t h -1.027 -1.027 -2.330 ns gclk pll t su 2.574 2.574 5.715 ns t h -2.469 -2.469 -5.438 ns 3.3-v pci gclk t su 1.256 1.256 2.903 ns t h -1.151 -1.151 -2.626 ns gclk pll t su 2.698 2.698 6.009 ns t h -2.593 -2.593 -5.732 ns 3.3-v pci-x gclk t su 1.256 1.256 2.903 ns t h -1.151 -1.151 -2.626 ns gclk pll t su 2.698 2.698 6.009 ns t h -2.593 -2.593 -5.732 ns lv d s gclk t su 1.106 1.106 2.489 ns t h -1.001 -1.001 -2.212 ns gclk pll t su 2.530 2.530 5.564 ns t h -2.425 -2.425 -5.287 ns table 4?55. ep1agx35 column pins input timing parameters (part 3 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial table 4?56. ep1agx35 row pins output timing parameters (part 1 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 2.904 2.904 6.699 ns gclk pll t co 1.485 1.485 3.627 ns 3.3-v lv t t l 8ma gclk t co 2.776 2.776 6.059 ns gclk pll t co 1.357 1.357 2.987 ns 3.3-v lv t t l 12 ma gclk t co 2.720 2.720 6.022 ns gclk pll t co 1.301 1.301 2.950 ns 3.3-v lv c m o s 4ma gclk t co 2.776 2.776 6.059 ns gclk pll t co 1.357 1.357 2.987 ns
altera corporation 4?59 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv c m o s 8ma gclk t co 2.670 2.670 5.753 ns gclk pll t co 1.251 1.251 2.681 ns 2.5 v 4 ma gclk t co 2.759 2.759 6.033 ns gclk pll t co 1.340 1.340 2.961 ns 2.5 v 8 ma gclk t co 2.656 2.656 5.775 ns gclk pll t co 1.237 1.237 2.703 ns 2.5 v 12 ma gclk t co 2.637 2.637 5.661 ns gclk pll t co 1.218 1.218 2.589 ns 1.8 v 2 ma gclk t co 2.829 2.829 7.052 ns gclk pll t co 1.410 1.410 3.980 ns 1.8 v 4 ma gclk t co 2.818 2.818 6.273 ns gclk pll t co 1.399 1.399 3.201 ns 1.8 v 6 ma gclk t co 2.707 2.707 5.972 ns gclk pll t co 1.288 1.288 2.900 ns 1.8 v 8 ma gclk t co 2.676 2.676 5.858 ns gclk pll t co 1.257 1.257 2.786 ns 1.5 v 2 ma gclk t co 2.789 2.789 6.551 ns gclk pll t co 1.370 1.370 3.479 ns 1.5 v 4 ma gclk t co 2.682 2.682 5.950 ns gclk pll t co 1.263 1.263 2.878 ns sstl-2 class i 8ma gclk t co 2.626 2.626 5.614 ns gclk pll t co 1.207 1.207 2.542 ns sstl-2 class i 12 ma gclk t co 2.602 2.602 5.538 ns gclk pll t co 1.183 1.183 2.466 ns sstl-2 class ii 16 ma gclk t co 2.568 2.568 5.407 ns gclk pll t co 1.149 1.149 2.335 ns sstl-18 class i 4ma gclk t co 2.614 2.614 5.556 ns gclk pll t co 1.195 1.195 2.484 ns sstl-18 class i 6ma gclk t co 2.618 2.618 5.485 ns gclk pll t co 1.199 1.199 2.413 ns table 4?56. ep1agx35 row pins output timing parameters (part 2 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial
4?60 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?57 describes i/o timing specifications. sstl-18 class i 8ma gclk t co 2.594 2.594 5.468 ns gclk pll t co 1.175 1.175 2.396 ns sstl-18 class i 10 ma gclk t co 2.597 2.597 5.447 ns gclk pll t co 1.178 1.178 2.375 ns 1.8-v hstl class i 4ma gclk t co 2.595 2.595 5.466 ns gclk pll t co 1.176 1.176 2.394 ns 1.8-v hstl class i 6ma gclk t co 2.598 2.598 5.430 ns gclk pll t co 1.179 1.179 2.358 ns 1.8-v hstl class i 8ma gclk t co 2.580 2.580 5.426 ns gclk pll t co 1.161 1.161 2.354 ns 1.8-v hstl class i 10 ma gclk t co 2.584 2.584 5.415 ns gclk pll t co 1.165 1.165 2.343 ns 1.8-v hstl class i 12 ma gclk t co 2.575 2.575 5.414 ns gclk pll t co 1.156 1.156 2.342 ns 1.5-v hstl class i 4ma gclk t co 2.594 2.594 5.443 ns gclk pll t co 1.175 1.175 2.371 ns 1.5-v hstl class i 6ma gclk t co 2.597 2.597 5.429 ns gclk pll t co 1.178 1.178 2.357 ns 1.5-v hstl class i 8ma gclk t co 2.582 2.582 5.421 ns gclk pll t co 1.163 1.163 2.349 ns lv d s - gclk t co 2.654 2.654 5.613 ns gclk pll t co 1.226 1.226 2.530 ns table 4?56. ep1agx35 row pins output timing parameters (part 3 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial table 4?57. ep1agx35 column pins output timing parameters (part 1 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 2.909 2.909 6.541 ns gclk pll t co 1.467 1.467 3.435 ns
altera corporation 4?61 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv t t l 8 ma gclk t co 2.764 2.764 6.169 ns gclk pll t co 1.322 1.322 3.063 ns 3.3-v lv t t l 12 ma gclk t co 2.697 2.697 6.169 ns gclk pll t co 1.255 1.255 3.063 ns 3.3-v lv t t l 16 ma gclk t co 2.671 2.671 6.000 ns gclk pll t co 1.229 1.229 2.894 ns 3.3-v lv t t l 20 ma gclk t co 2.649 2.649 5.875 ns gclk pll t co 1.207 1.207 2.769 ns 3.3-v lv t t l 24 ma gclk t co 2.642 2.642 5.877 ns gclk pll t co 1.200 1.200 2.771 ns 3.3-v lv c m o s 4ma gclk t co 2.764 2.764 6.169 ns gclk pll t co 1.322 1.322 3.063 ns 3.3-v lv c m o s 8ma gclk t co 2.672 2.672 5.874 ns gclk pll t co 1.230 1.230 2.768 ns 3.3-v lv c m o s 12 ma gclk t co 2.644 2.644 5.796 ns gclk pll t co 1.202 1.202 2.690 ns 3.3-v lv c m o s 16 ma gclk t co 2.651 2.651 5.764 ns gclk pll t co 1.209 1.209 2.658 ns 3.3-v lv c m o s 20 ma gclk t co 2.638 2.638 5.746 ns gclk pll t co 1.196 1.196 2.640 ns 3.3-v lv c m o s 24 ma gclk t co 2.627 2.627 5.724 ns gclk pll t co 1.185 1.185 2.618 ns 2.5 v 4 ma gclk t co 2.726 2.726 6.201 ns gclk pll t co 1.284 1.284 3.095 ns 2.5 v 8 ma gclk t co 2.674 2.674 5.939 ns gclk pll t co 1.232 1.232 2.833 ns 2.5 v 12 ma gclk t co 2.653 2.653 5.822 ns gclk pll t co 1.211 1.211 2.716 ns 2.5 v 16 ma gclk t co 2.635 2.635 5.748 ns gclk pll t co 1.193 1.193 2.642 ns table 4?57. ep1agx35 column pins output timing parameters (part 2 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?62 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance 1.8 v 2 ma gclk t co 2.766 2.766 7.193 ns gclk pll t co 1.324 1.324 4.087 ns 1.8 v 4 ma gclk t co 2.771 2.771 6.419 ns gclk pll t co 1.329 1.329 3.313 ns 1.8 v 6 ma gclk t co 2.695 2.695 6.155 ns gclk pll t co 1.253 1.253 3.049 ns 1.8 v 8 ma gclk t co 2.697 2.697 6.064 ns gclk pll t co 1.255 1.255 2.958 ns 1.8 v 10 ma gclk t co 2.651 2.651 5.987 ns gclk pll t co 1.209 1.209 2.881 ns 1.8 v 12 ma gclk t co 2.652 2.652 5.930 ns gclk pll t co 1.210 1.210 2.824 ns 1.5 v 2 ma gclk t co 2.746 2.746 6.723 ns gclk pll t co 1.304 1.304 3.617 ns 1.5 v 4 ma gclk t co 2.682 2.682 6.154 ns gclk pll t co 1.240 1.240 3.048 ns 1.5 v 6 ma gclk t co 2.685 2.685 6.036 ns gclk pll t co 1.243 1.243 2.930 ns 1.5 v 8 ma gclk t co 2.644 2.644 5.983 ns gclk pll t co 1.202 1.202 2.877 ns sstl-2 class i 8ma gclk t co 2.629 2.629 5.762 ns gclk pll t co 1.184 1.184 2.650 ns sstl-2 class i 12 ma gclk t co 2.612 2.612 5.712 ns gclk pll t co 1.167 1.167 2.600 ns sstl-2 class ii 16 ma gclk t co 2.590 2.590 5.639 ns gclk pll t co 1.145 1.145 2.527 ns sstl-2 class ii 20 ma gclk t co 2.591 2.591 5.626 ns gclk pll t co 1.146 1.146 2.514 ns sstl-2 class ii 24 ma gclk t co 2.587 2.587 5.624 ns gclk pll t co 1.142 1.142 2.512 ns table 4?57. ep1agx35 column pins output timing parameters (part 3 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?63 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-18 class i 4ma gclk t co 2.626 2.626 5.733 ns gclk pll t co 1.184 1.184 2.627 ns sstl-18 class i 6ma gclk t co 2.630 2.630 5.694 ns gclk pll t co 1.185 1.185 2.582 ns sstl-18 class i 8ma gclk t co 2.609 2.609 5.675 ns gclk pll t co 1.164 1.164 2.563 ns sstl-18 class i 10 ma gclk t co 2.614 2.614 5.673 ns gclk pll t co 1.169 1.169 2.561 ns sstl-18 class i 12 ma gclk t co 2.608 2.608 5.659 ns gclk pll t co 1.163 1.163 2.547 ns sstl-18 class ii 8ma gclk t co 2.597 2.597 5.625 ns gclk pll t co 1.152 1.152 2.513 ns sstl-18 class ii 16 ma gclk t co 2.609 2.609 5.603 ns gclk pll t co 1.164 1.164 2.491 ns sstl-18 class ii 18 ma gclk t co 2.605 2.605 5.611 ns gclk pll t co 1.160 1.160 2.499 ns sstl-18 class ii 20 ma gclk t co 2.605 2.605 5.609 ns gclk pll t co 1.160 1.160 2.497 ns 1.8-v hstl class i 4ma gclk t co 2.629 2.629 5.664 ns gclk pll t co 1.187 1.187 2.558 ns 1.8-v hstl class i 6ma gclk t co 2.634 2.634 5.649 ns gclk pll t co 1.189 1.189 2.537 ns 1.8-v hstl class i 8ma gclk t co 2.612 2.612 5.638 ns gclk pll t co 1.167 1.167 2.526 ns 1.8-v hstl class i 10 ma gclk t co 2.616 2.616 5.644 ns gclk pll t co 1.171 1.171 2.532 ns 1.8-v hstl class i 12 ma gclk t co 2.608 2.608 5.637 ns gclk pll t co 1.163 1.163 2.525 ns 1.8-v hstl class ii 16 ma gclk t co 2.591 2.591 5.401 ns gclk pll t co 1.146 1.146 2.289 ns table 4?57. ep1agx35 column pins output timing parameters (part 4 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?64 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance tables 4?58 through 4?59 shows ep1agx35 regional clock ( rclk ) adder values that should be added to gclk values. these adder values are used to determine i/o timing when the i /o pin is driven using the regional clock. this applies for all i/o standards supported by arria gx with general purpose i/o pins. 1.8-v hstl class ii 18 ma gclk t co 2.593 2.593 5.412 ns gclk pll t co 1.148 1.148 2.300 ns 1.8-v hstl class ii 20 ma gclk t co 2.593 2.593 5.421 ns gclk pll t co 1.148 1.148 2.309 ns 1.5-v hstl class i 4ma gclk t co 2.629 2.629 5.663 ns gclk pll t co 1.187 1.187 2.557 ns 1.5-v hstl class i 6ma gclk t co 2.633 2.633 5.641 ns gclk pll t co 1.188 1.188 2.529 ns 1.5-v hstl class i 8ma gclk t co 2.615 2.615 5.643 ns gclk pll t co 1.170 1.170 2.531 ns 1.5-v hstl class i 10 ma gclk t co 2.615 2.615 5.645 ns gclk pll t co 1.170 1.170 2.533 ns 1.5-v hstl class i 12 ma gclk t co 2.609 2.609 5.643 ns gclk pll t co 1.164 1.164 2.531 ns 1.5-v hstl class ii 16 ma gclk t co 2.596 2.596 5.455 ns gclk pll t co 1.151 1.151 2.343 ns 1.5-v hstl class ii 18 ma gclk t co 2.599 2.599 5.465 ns gclk pll t co 1.154 1.154 2.353 ns 1.5-v hstl class ii 20 ma gclk t co 2.601 2.601 5.478 ns gclk pll t co 1.156 1.156 2.366 ns 3.3-v pci - gclk t co 2.755 2.755 5.791 ns gclk pll t co 1.313 1.313 2.685 ns 3.3-v pci-x - gclk t co 2.755 2.755 5.791 ns gclk pll t co 1.313 1.313 2.685 ns lv d s - gclk t co 3.621 3.621 6.969 ns gclk pll t co 2.190 2.190 3.880 ns table 4?57. ep1agx35 column pins output timing parameters (part 5 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?65 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?58 describes row pin delay adders when using the regional clock in arria gx devices. table 4?59 describes column pin delay adders when using the regional clock in arria gx devices. table 4?58. ep1agx35 row pin de lay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.126 0.126 0.281 ns rclk pll input adder 0.011 0.011 0.018 ns rclk output adder -0.126 -0.126 -0.281 ns rclk pll output adder -0.011 -0.011 -0.018 ns table 4?59. ep1agx35 column pin delay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.099 0.099 0.254 ns rclk pll input adder -0.012 -0.012 -0.01 ns rclk output adder -0.086 -0.086 -0.244 ns rclk pll output adder 1.253 1.253 3.133 ns
4?66 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance ep1agx50 i/o timing parameters tables 4?60 through 4?63 show the maximum i/o timing parameters for ep1agx50 devices for i/o standards which support general purpose i/o pins. table 4?60 describes i/o timing specifications. table 4?60. ep1agx50 row pins input timing parameters (part 1 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.550 1.550 3.542 ns t h -1.445 -1.445 -3.265 ns gclk pll t su 2.978 2.978 6.626 ns t h -2.873 -2.873 -6.349 ns 3.3-v lv c m o s gclk t su 1.550 1.550 3.542 ns t h -1.445 -1.445 -3.265 ns gclk pll t su 2.978 2.978 6.626 ns t h -2.873 -2.873 -6.349 ns 2.5 v gclk t su 1.562 1.562 3.523 ns t h -1.457 -1.457 -3.246 ns gclk pll t su 2.990 2.990 6.607 ns t h -2.885 -2.885 -6.330 ns 1.8 v gclk t su 1.628 1.628 3.730 ns t h -1.523 -1.523 -3.453 ns gclk pll t su 3.056 3.056 6.814 ns t h -2.951 -2.951 -6.537 ns 1.5 v gclk t su 1.631 1.631 3.825 ns t h -1.526 -1.526 -3.548 ns gclk pll t su 3.059 3.059 6.909 ns t h -2.954 -2.954 -6.632 ns sstl-2 class i gclk t su 1.375 1.375 2.997 ns t h -1.270 -1.270 -2.720 ns gclk pll t su 2.802 2.802 6.079 ns t h -2.697 -2.697 -5.802 ns
altera corporation 4?67 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class ii gclk t su 1.375 1.375 2.997 ns t h -1.270 -1.270 -2.720 ns gclk pll t su 2.802 2.802 6.079 ns t h -2.697 -2.697 -5.802 ns sstl-18 class i gclk t su 1.406 1.406 3.104 ns t h -1.301 -1.301 -2.827 ns gclk pll t su 2.834 2.834 6.188 ns t h -2.729 -2.729 -5.911 ns sstl-18 class ii gclk t su 1.407 1.407 3.106 ns t h -1.302 -1.302 -2.829 ns gclk pll t su 2.834 2.834 6.188 ns t h -2.729 -2.729 -5.911 ns 1.8-v hstl class i gclk t su 1.406 1.406 3.104 ns t h -1.301 -1.301 -2.827 ns gclk pll t su 2.834 2.834 6.188 ns t h -2.729 -2.729 -5.911 ns 1.8-v hstl class ii gclk t su 1.407 1.407 3.106 ns t h -1.302 -1.302 -2.829 ns gclk pll t su 2.834 2.834 6.188 ns t h -2.729 -2.729 -5.911 ns 1.5-v hstl class i gclk t su 1.432 1.432 3.232 ns t h -1.327 -1.327 -2.955 ns gclk pll t su 2.860 2.860 6.316 ns t h -2.755 -2.755 -6.039 ns 1.5-v hstl class ii gclk t su 1.433 1.433 3.234 ns t h -1.328 -1.328 -2.957 ns gclk pll t su 2.860 2.860 6.316 ns t h -2.755 -2.755 -6.039 ns table 4?60. ep1agx50 row pins input timing parameters (part 2 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial
4?68 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?61 describes i/o timing specifications. lv d s gclk t su 1.341 1.341 3.088 ns t h -1.236 -1.236 -2.811 ns gclk pll t su 2.769 2.769 6.171 ns t h -2.664 -2.664 -5.894 ns table 4?60. ep1agx50 row pins input timing parameters (part 3 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial table 4?61. ep1agx50 column pins input timing parameters (part 1 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.242 1.242 2.902 ns t h -1.137 -1.137 -2.625 ns gclk pll t su 2.684 2.684 6.009 ns t h -2.579 -2.579 -5.732 ns 3.3-v lv c m o s gclk t su 1.242 1.242 2.902 ns t h -1.137 -1.137 -2.625 ns gclk pll t su 2.684 2.684 6.009 ns t h -2.579 -2.579 -5.732 ns 2.5 v gclk t su 1.252 1.252 2.884 ns t h -1.147 -1.147 -2.607 ns gclk pll t su 2.694 2.694 5.991 ns t h -2.589 -2.589 -5.714 ns 1.8 v gclk t su 1.318 1.318 3.094 ns t h -1.213 -1.213 -2.817 ns gclk pll t su 2.760 2.760 6.201 ns t h -2.655 -2.655 -5.924 ns 1.5 v gclk t su 1.321 1.321 3.187 ns t h -1.216 -1.216 -2.910 ns gclk pll t su 2.763 2.763 6.294 ns t h -2.658 -2.658 -6.017 ns
altera corporation 4?69 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class i gclk t su 1.034 1.034 2.314 ns t h -0.929 -0.929 -2.037 ns gclk pll t su 2.500 2.500 5.457 ns t h -2.395 -2.395 -5.180 ns sstl-2 class ii gclk t su 1.034 1.034 2.314 ns t h -0.929 -0.929 -2.037 ns gclk pll t su 2.500 2.500 5.457 ns t h -2.395 -2.395 -5.180 ns sstl-18 class i gclk t su 1.104 1.104 2.466 ns t h -0.999 -0.999 -2.189 ns gclk pll t su 2.546 2.546 5.573 ns t h -2.441 -2.441 -5.296 ns sstl-18 class ii gclk t su 1.074 1.074 2.424 ns t h -0.969 -0.969 -2.147 ns gclk pll t su 2.539 2.539 5.564 ns t h -2.434 -2.434 -5.287 ns 1.8-v hstl class i gclk t su 1.104 1.104 2.466 ns t h -0.999 -0.999 -2.189 ns gclk pll t su 2.546 2.546 5.573 ns t h -2.441 -2.441 -5.296 ns 1.8-v hstl class ii gclk t su 1.074 1.074 2.424 ns t h -0.969 -0.969 -2.147 ns gclk pll t su 2.539 2.539 5.564 ns t h -2.434 -2.434 -5.287 ns 1.5-v hstl class i gclk t su 1.122 1.122 2.594 ns t h -1.017 -1.017 -2.317 ns gclk pll t su 2.564 2.564 5.701 ns t h -2.459 -2.459 -5.424 ns table 4?61. ep1agx50 column pins input timing parameters (part 2 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial
4?70 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?62 describes i/o timing specifications. 1.5-v hstl class ii gclk t su 1.094 1.094 2.557 ns t h -0.989 -0.989 -2.280 ns gclk pll t su 2.557 2.557 5.692 ns t h -2.452 -2.452 -5.415 ns 3.3-v pci gclk t su 1.247 1.247 2.890 ns t h -1.142 -1.142 -2.613 ns gclk pll t su 2.689 2.689 5.997 ns t h -2.584 -2.584 -5.720 ns 3.3-v pci-x gclk t su 1.247 1.247 2.890 ns t h -1.142 -1.142 -2.613 ns gclk pll t su 2.689 2.689 5.997 ns t h -2.584 -2.584 -5.720 ns lv d s gclk t su 1.106 1.106 2.489 ns t h -1.001 -1.001 -2.212 ns gclk pll t su 2.530 2.530 5.564 ns t h -2.425 -2.425 -5.287 ns table 4?61. ep1agx50 column pins input timing parameters (part 3 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial table 4?62. ep1agx50 row pins output timing parameters (part 1 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 2.915 2.915 6.713 ns gclk pll t co 1.487 1.487 3.629 ns 3.3-v lv t t l 8ma gclk t co 2.787 2.787 6.073 ns gclk pll t co 1.359 1.359 2.989 ns 3.3-v lv t t l 12 ma gclk t co 2.731 2.731 6.036 ns gclk pll t co 1.303 1.303 2.952 ns 3.3-v lv c m o s 4ma gclk t co 2.787 2.787 6.073 ns gclk pll t co 1.359 1.359 2.989 ns
altera corporation 4?71 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv c m o s 8ma gclk t co 2.681 2.681 5.767 ns gclk pll t co 1.253 1.253 2.683 ns 2.5 v 4 ma gclk t co 2.770 2.770 6.047 ns gclk pll t co 1.342 1.342 2.963 ns 2.5 v 8 ma gclk t co 2.667 2.667 5.789 ns gclk pll t co 1.239 1.239 2.705 ns 2.5 v 12 ma gclk t co 2.648 2.648 5.675 ns gclk pll t co 1.220 1.220 2.591 ns 1.8 v 2 ma gclk t co 2.840 2.840 7.066 ns gclk pll t co 1.412 1.412 3.982 ns 1.8 v 4 ma gclk t co 2.829 2.829 6.287 ns gclk pll t co 1.401 1.401 3.203 ns 1.8 v 6 ma gclk t co 2.718 2.718 5.986 ns gclk pll t co 1.290 1.290 2.902 ns 1.8 v 8 ma gclk t co 2.687 2.687 5.872 ns gclk pll t co 1.259 1.259 2.788 ns 1.5 v 2 ma gclk t co 2.800 2.800 6.565 ns gclk pll t co 1.372 1.372 3.481 ns 1.5 v 4 ma gclk t co 2.693 2.693 5.964 ns gclk pll t co 1.265 1.265 2.880 ns sstl-2 class i 8ma gclk t co 2.636 2.636 5.626 ns gclk pll t co 1.209 1.209 2.544 ns sstl-2 class i 12 ma gclk t co 2.612 2.612 5.550 ns gclk pll t co 1.185 1.185 2.468 ns sstl-2 class ii 16 ma gclk t co 2.578 2.578 5.419 ns gclk pll t co 1.151 1.151 2.337 ns sstl-18 class i 4ma gclk t co 2.625 2.625 5.570 ns gclk pll t co 1.197 1.197 2.486 ns sstl-18 class i 6ma gclk t co 2.628 2.628 5.497 ns gclk pll t co 1.201 1.201 2.415 ns table 4?62. ep1agx50 row pins output timing parameters (part 2 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial
4?72 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?63 describes i/o timing specifications. sstl-18 class i 8ma gclk t co 2.604 2.604 5.480 ns gclk pll t co 1.177 1.177 2.398 ns sstl-18 class i 10 ma gclk t co 2.607 2.607 5.459 ns gclk pll t co 1.180 1.180 2.377 ns 1.8-v hstl class i 4ma gclk t co 2.606 2.606 5.480 ns gclk pll t co 1.178 1.178 2.396 ns 1.8-v hstl class i 6ma gclk t co 2.608 2.608 5.442 ns gclk pll t co 1.181 1.181 2.360 ns 1.8-v hstl class i 8ma gclk t co 2.590 2.590 5.438 ns gclk pll t co 1.163 1.163 2.356 ns 1.8-v hstl class i 10 ma gclk t co 2.594 2.594 5.427 ns gclk pll t co 1.167 1.167 2.345 ns 1.8-v hstl class i 12 ma gclk t co 2.585 2.585 5.426 ns gclk pll t co 1.158 1.158 2.344 ns 1.5-v hstl class i 4ma gclk t co 2.605 2.605 5.457 ns gclk pll t co 1.177 1.177 2.373 ns 1.5-v hstl class i 6ma gclk t co 2.607 2.607 5.441 ns gclk pll t co 1.180 1.180 2.359 ns 1.5-v hstl class i 8ma gclk t co 2.592 2.592 5.433 ns gclk pll t co 1.165 1.165 2.351 ns lv d s - gclk t co 2.654 2.654 5.613 ns gclk pll t co 1.226 1.226 2.530 ns table 4?62. ep1agx50 row pins output timing parameters (part 3 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial table 4?63. ep1agx50 column pins output timing parameters (part 1 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 2.948 2.948 6.608 ns gclk pll t co 1.476 1.476 3.447 ns
altera corporation 4?73 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv t t l 8ma gclk t co 2.797 2.797 6.203 ns gclk pll t co 1.331 1.331 3.075 ns 3.3-v lv t t l 12 ma gclk t co 2.722 2.722 6.204 ns gclk pll t co 1.264 1.264 3.075 ns 3.3-v lv t t l 16 ma gclk t co 2.694 2.694 6.024 ns gclk pll t co 1.238 1.238 2.906 ns 3.3-v lv t t l 20 ma gclk t co 2.670 2.670 5.896 ns gclk pll t co 1.216 1.216 2.781 ns 3.3-v lv t t l 24 ma gclk t co 2.660 2.660 5.895 ns gclk pll t co 1.209 1.209 2.783 ns 3.3-v lv c m o s 4ma gclk t co 2.797 2.797 6.203 ns gclk pll t co 1.331 1.331 3.075 ns 3.3-v lv c m o s 8ma gclk t co 2.695 2.695 5.893 ns gclk pll t co 1.239 1.239 2.780 ns 3.3-v lv c m o s 12 ma gclk t co 2.663 2.663 5.809 ns gclk pll t co 1.211 1.211 2.702 ns 3.3-v lv c m o s 16 ma gclk t co 2.666 2.666 5.776 ns gclk pll t co 1.218 1.218 2.670 ns 3.3-v lv c m o s 20 ma gclk t co 2.651 2.651 5.758 ns gclk pll t co 1.205 1.205 2.652 ns 3.3-v lv c m o s 24 ma gclk t co 2.638 2.638 5.736 ns gclk pll t co 1.194 1.194 2.630 ns 2.5 v 4 ma gclk t co 2.754 2.754 6.240 ns gclk pll t co 1.293 1.293 3.107 ns 2.5 v 8 ma gclk t co 2.697 2.697 5.963 ns gclk pll t co 1.241 1.241 2.845 ns 2.5 v 12 ma gclk t co 2.672 2.672 5.837 ns gclk pll t co 1.220 1.220 2.728 ns 2.5 v 16 ma gclk t co 2.654 2.654 5.760 ns gclk pll t co 1.202 1.202 2.654 ns table 4?63. ep1agx50 column pins output timing parameters (part 2 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?74 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance 1.8 v 2 ma gclk t co 2.804 2.804 7.295 ns gclk pll t co 1.333 1.333 4.099 ns 1.8 v 4 ma gclk t co 2.808 2.808 6.479 ns gclk pll t co 1.338 1.338 3.325 ns 1.8 v 6 ma gclk t co 2.717 2.717 6.195 ns gclk pll t co 1.262 1.262 3.061 ns 1.8 v 8 ma gclk t co 2.719 2.719 6.098 ns gclk pll t co 1.264 1.264 2.970 ns 1.8 v 10 ma gclk t co 2.671 2.671 6.012 ns gclk pll t co 1.218 1.218 2.893 ns 1.8 v 12 ma gclk t co 2.671 2.671 5.953 ns gclk pll t co 1.219 1.219 2.836 ns 1.5 v 2 ma gclk t co 2.779 2.779 6.815 ns gclk pll t co 1.313 1.313 3.629 ns 1.5 v 4 ma gclk t co 2.703 2.703 6.210 ns gclk pll t co 1.249 1.249 3.060 ns 1.5 v 6 ma gclk t co 2.705 2.705 6.118 ns gclk pll t co 1.252 1.252 2.942 ns 1.5 v 8 ma gclk t co 2.660 2.660 6.014 ns gclk pll t co 1.211 1.211 2.889 ns sstl-2 class i 8ma gclk t co 2.648 2.648 5.777 ns gclk pll t co 1.202 1.202 2.675 ns sstl-2 class i 12 ma gclk t co 2.628 2.628 5.722 ns gclk pll t co 1.185 1.185 2.625 ns sstl-2 class ii 16 ma gclk t co 2.606 2.606 5.649 ns gclk pll t co 1.163 1.163 2.552 ns sstl-2 class ii 20 ma gclk t co 2.606 2.606 5.636 ns gclk pll t co 1.164 1.164 2.539 ns sstl-2 class ii 24 ma gclk t co 2.601 2.601 5.634 ns gclk pll t co 1.160 1.160 2.537 ns table 4?63. ep1agx50 column pins output timing parameters (part 3 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?75 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-18 class i 4ma gclk t co 2.643 2.643 5.749 ns gclk pll t co 1.193 1.193 2.639 ns sstl-18 class i 6ma gclk t co 2.649 2.649 5.708 ns gclk pll t co 1.203 1.203 2.607 ns sstl-18 class i 8ma gclk t co 2.626 2.626 5.686 ns gclk pll t co 1.182 1.182 2.588 ns sstl-18 class i 10 ma gclk t co 2.630 2.630 5.685 ns gclk pll t co 1.187 1.187 2.586 ns sstl-18 class i 12 ma gclk t co 2.625 2.625 5.669 ns gclk pll t co 1.181 1.181 2.572 ns sstl-18 class ii 8ma gclk t co 2.614 2.614 5.635 ns gclk pll t co 1.170 1.170 2.538 ns sstl-18 class ii 16 ma gclk t co 2.623 2.623 5.613 ns gclk pll t co 1.182 1.182 2.516 ns sstl-18 class ii 18 ma gclk t co 2.616 2.616 5.621 ns gclk pll t co 1.178 1.178 2.524 ns sstl-18 class ii 20 ma gclk t co 2.616 2.616 5.619 ns gclk pll t co 1.178 1.178 2.522 ns 1.8-v hstl class i 4ma gclk t co 2.637 2.637 5.676 ns gclk pll t co 1.196 1.196 2.570 ns 1.8-v hstl class i 6ma gclk t co 2.645 2.645 5.659 ns gclk pll t co 1.207 1.207 2.562 ns 1.8-v hstl class i 8ma gclk t co 2.623 2.623 5.648 ns gclk pll t co 1.185 1.185 2.551 ns 1.8-v hstl class i 10 ma gclk t co 2.627 2.627 5.654 ns gclk pll t co 1.189 1.189 2.557 ns 1.8-v hstl class i 12 ma gclk t co 2.619 2.619 5.647 ns gclk pll t co 1.181 1.181 2.550 ns 1.8-v hstl class ii 16 ma gclk t co 2.602 2.602 5.574 ns gclk pll t co 1.164 1.164 2.314 ns table 4?63. ep1agx50 column pins output timing parameters (part 4 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?76 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance tables 4?64 through 4?65 shows ep1agx50 regional clock ( rclk ) adder values that should be added to the gclk values. these adder values are used to determine i/o timing when the i/o pin is driven using the regional clock. this applies for all i/o standards supported by arria gx with general purpose i/o pins. 1.8-v hstl class ii 18 ma gclk t co 2.604 2.604 5.578 ns gclk pll t co 1.166 1.166 2.325 ns 1.8-v hstl class ii 20 ma gclk t co 2.604 2.604 5.577 ns gclk pll t co 1.166 1.166 2.334 ns 1.5-v hstl class i 4ma gclk t co 2.637 2.637 5.675 ns gclk pll t co 1.196 1.196 2.569 ns 1.5-v hstl class i 6ma gclk t co 2.644 2.644 5.651 ns gclk pll t co 1.206 1.206 2.554 ns 1.5-v hstl class i 8ma gclk t co 2.626 2.626 5.653 ns gclk pll t co 1.188 1.188 2.556 ns 1.5-v hstl class i 10 ma gclk t co 2.626 2.626 5.655 ns gclk pll t co 1.188 1.188 2.558 ns 1.5-v hstl class i 12 ma gclk t co 2.620 2.620 5.653 ns gclk pll t co 1.182 1.182 2.556 ns 1.5-v hstl class ii 16 ma gclk t co 2.607 2.607 5.573 ns gclk pll t co 1.169 1.169 2.368 ns 1.5-v hstl class ii 18 ma gclk t co 2.610 2.610 5.571 ns gclk pll t co 1.172 1.172 2.378 ns 1.5-v hstl class ii 20 ma gclk t co 2.612 2.612 5.581 ns gclk pll t co 1.174 1.174 2.391 ns 3.3-v pci - gclk t co 2.786 2.786 5.803 ns gclk pll t co 1.322 1.322 2.697 ns 3.3-v pci-x - gclk t co 2.786 2.786 5.803 ns gclk pll t co 1.322 1.322 2.697 ns lv d s - gclk t co 3.621 3.621 6.969 ns gclk pll t co 2.190 2.190 3.880 ns table 4?63. ep1agx50 column pins output timing parameters (part 5 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?77 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?64 describes row pin delay adders when using the regional clock in arria gx devices. table 4?65 describes column pin delay adders when using the regional clock in arria gx devices. table 4?64. ep1agx50 row pin de lay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.151 0.151 0.329 ns rclk pll input adder 0.011 0.011 0.016 ns rclk output adder -0.151 -0.151 -0.329 ns rclk pll output adder -0.011 -0.011 -0.016 ns table 4?65. ep1agx50 column pin delay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.146 0.146 0.334 ns rclk pll input adder -1.713 -1.713 -3.645 ns rclk output adder -0.146 -0.146 -0.336 ns rclk pll output adder 1.716 1.716 4.488 ns
4?78 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance ep1agx60 i/o timing parameters tables 4?66 through 4?69 show the maximum i/o timing parameters for ep1agx60 devices for i/o standards which support general purpose i/o pins. table 4?66 describes i/o timing specifications. table 4?66. ep1agx60 row pins input timing parameters (part 1 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.413 1.413 3.113 ns t h -1.308 -1.308 -2.836 ns gclk pll t su 2.975 2.975 6.536 ns t h -2.870 -2.870 -6.259 ns 3.3-v lv c m o s gclk t su 1.413 1.413 3.113 ns t h -1.308 -1.308 -2.836 ns gclk pll t su 2.975 2.975 6.536 ns t h -2.870 -2.870 -6.259 ns 2.5 v gclk t su 1.425 1.425 3.094 ns t h -1.320 -1.320 -2.817 ns gclk pll t su 2.987 2.987 6.517 ns t h -2.882 -2.882 -6.240 ns 1.8 v gclk t su 1.477 1.477 3.275 ns t h -1.372 -1.372 -2.998 ns gclk pll t su 3.049 3.049 6.718 ns t h -2.944 -2.944 -6.441 ns 1.5 v gclk t su 1.480 1.480 3.370 ns t h -1.375 -1.375 -3.093 ns gclk pll t su 3.052 3.052 6.813 ns t h -2.947 -2.947 -6.536 ns sstl-2 class i gclk t su 1.237 1.237 2.566 ns t h -1.132 -1.132 -2.289 ns gclk pll tsu 2.800 2.800 5.990 ns t h -2.695 -2.695 -5.713 ns
altera corporation 4?79 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class ii gclk t su 1.237 1.237 2.566 ns t h -1.132 -1.132 -2.289 ns gclk pll t su 2.800 2.800 5.990 ns t h -2.695 -2.695 -5.713 ns sstl-18 class i gclk t su 1.255 1.255 2.649 ns t h -1.150 -1.150 -2.372 ns gclk pll t su 2.827 2.827 6.092 ns t h -2.722 -2.722 -5.815 ns sstl-18 class ii gclk t su 1.255 1.255 2.649 ns t h -1.150 -1.150 -2.372 ns gclk pll t su 2.827 2.827 6.092 ns t h -2.722 -2.722 -5.815 ns 1.8-v hstl class i gclk t su 1.255 1.255 2.649 ns t h -1.150 -1.150 -2.372 ns gclk pll t su 2.827 2.827 6.092 ns t h -2.722 -2.722 -5.815 ns 1.8-v hstl class ii gclk t su 1.255 1.255 2.649 ns t h -1.150 -1.150 -2.372 ns gclk pll t su 2.827 2.827 6.092 ns t h -2.722 -2.722 -5.815 ns 1.5-v hstl class i gclk t su 1.281 1.281 2.777 ns t h -1.176 -1.176 -2.500 ns gclk pll t su 2.853 2.853 6.220 ns t h -2.748 -2.748 -5.943 ns 1.5-v hstl class ii gclk t su 1.281 1.281 2.777 ns t h -1.176 -1.176 -2.500 ns gclk pll t su 2.853 2.853 6.220 ns t h -2.748 -2.748 -5.943 ns table 4?66. ep1agx60 row pins input timing parameters (part 2 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial
4?80 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?67 describes i/o timing specifications. lv d s gclk t su 1.208 1.208 2.664 ns t h -1.103 -1.103 -2.387 ns gclk pll t su 2.767 2.767 6.083 ns t h -2.662 -2.662 -5.806 ns table 4?66. ep1agx60 row pins input timing parameters (part 3 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial table 4?67. ep1agx60 column pins input timing parameters (part 1 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.124 1.124 2.493 ns t h -1.019 -1.019 -2.216 ns gclk pll t su 2.694 2.694 5.928 ns t h -2.589 -2.589 -5.651 ns 3.3-v lv c m o s gclk t su 1.124 1.124 2.493 ns t h -1.019 -1.019 -2.216 ns gclk pll t su 2.694 2.694 5.928 ns t h -2.589 -2.589 -5.651 ns 2.5 v gclk t su 1.134 1.134 2.475 ns t h -1.029 -1.029 -2.198 ns gclk pll t su 2.704 2.704 5.910 ns t h -2.599 -2.599 -5.633 ns 1.8 v gclk t su 1.200 1.200 2.685 ns t h -1.095 -1.095 -2.408 ns gclk pll t su 2.770 2.770 6.120 ns t h -2.665 -2.665 -5.843 ns 1.5 v gclk t su 1.203 1.203 2.778 ns t h -1.098 -1.098 -2.501 ns gclk pll t su 2.773 2.773 6.213 ns t h -2.668 -2.668 -5.936 ns
altera corporation 4?81 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class i gclk t su 0.948 0.948 1.951 ns t h -0.843 -0.843 -1.674 ns gclk pll t su 2.519 2.519 5.388 ns t h -2.414 -2.414 -5.111 ns sstl-2 class ii gclk t su 0.948 0.948 1.951 ns t h -0.843 -0.843 -1.674 ns gclk pll t su 2.519 2.519 5.388 ns t h -2.414 -2.414 -5.111 ns sstl-18 class i gclk t su 0.986 0.986 2.057 ns t h -0.881 -0.881 -1.780 ns gclk pll t su 2.556 2.556 5.492 ns t h -2.451 -2.451 -5.215 ns sstl-18 class ii gclk t su 0.987 0.987 2.058 ns t h -0.882 -0.882 -1.781 ns gclk pll t su 2.558 2.558 5.495 ns t h -2.453 -2.453 -5.218 ns 1.8-v hstl class i gclk t su 0.986 0.986 2.057 ns t h -0.881 -0.881 -1.780 ns gclk pll t su 2.556 2.556 5.492 ns t h -2.451 -2.451 -5.215 ns 1.8-v hstl class ii gclk t su 0.987 0.987 2.058 ns t h -0.882 -0.882 -1.781 ns gclk pll t su 2.558 2.558 5.495 ns t h -2.453 -2.453 -5.218 ns 1.5-v hstl class i gclk t su 1.004 1.004 2.185 ns t h -0.899 -0.899 -1.908 ns gclk pll t su 2.574 2.574 5.620 ns t h -2.469 -2.469 -5.343 ns table 4?67. ep1agx60 column pins input timing parameters (part 2 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial
4?82 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?68 describes i/o timing specifications. 1.5-v hstl class ii gclk t su 1.005 1.005 2.186 ns t h -0.900 -0.900 -1.909 ns gclk pll t su 2.576 2.576 5.623 ns t h -2.471 -2.471 -5.346 ns 3.3-v pci gclk t su 1.129 1.129 2.481 ns t h -1.024 -1.024 -2.204 ns gclk pll t su 2.699 2.699 5.916 ns t h -2.594 -2.594 -5.639 ns 3.3-v pci-x gclk t su 1.129 1.129 2.481 ns t h -1.024 -1.024 -2.204 ns gclk pll t su 2.699 2.699 5.916 ns t h -2.594 -2.594 -5.639 ns lv d s gclk t su 0.980 0.980 2.062 ns t h -0.875 -0.875 -1.785 ns gclk pll t su 2.557 2.557 5.512 ns t h -2.452 -2.452 -5.235 ns table 4?67. ep1agx60 column pins input timing parameters (part 3 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial table 4?68. ep1agx60 row pins output timing parameters (part 1 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 3.052 3.052 7.142 ns gclk pll t co 1.490 1.490 3.719 ns 3.3-v lv t t l 8ma gclk t co 2.924 2.924 6.502 ns gclk pll t co 1.362 1.362 3.079 ns 3.3-v lv t t l 12 ma gclk t co 2.868 2.868 6.465 ns gclk pll t co 1.306 1.306 3.042 ns 3.3-v lv c m o s 4ma gclk t co 2.924 2.924 6.502 ns gclk pll t co 1.362 1.362 3.079 ns
altera corporation 4?83 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv c m o s 8ma gclk t co 2.818 2.818 6.196 ns gclk pll t co 1.256 1.256 2.773 ns 2.5 v 4 ma gclk t co 2.907 2.907 6.476 ns gclk pll t co 1.345 1.345 3.053 ns 2.5 v 8 ma gclk t co 2.804 2.804 6.218 ns gclk pll t co 1.242 1.242 2.795 ns 2.5 v 12 ma gclk t co 2.785 2.785 6.104 ns gclk pll t co 1.223 1.223 2.681 ns 1.8 v 2 ma gclk t co 2.991 2.991 7.521 ns gclk pll t co 1.419 1.419 4.078 ns 1.8 v 4 ma gclk t co 2.980 2.980 6.742 ns gclk pll t co 1.408 1.408 3.299 ns 1.8 v 6 ma gclk t co 2.869 2.869 6.441 ns gclk pll t co 1.297 1.297 2.998 ns 1.8 v 8 ma gclk t co 2.838 2.838 6.327 ns gclk pll t co 1.266 1.266 2.884 ns 1.5 v 2 ma gclk t co 2.951 2.951 7.020 ns gclk pll t co 1.379 1.379 3.577 ns 1.5 v 4 ma gclk t co 2.844 2.844 6.419 ns gclk pll t co 1.272 1.272 2.976 ns sstl-2 class i 8ma gclk t co 2.774 2.774 6.057 ns gclk pll t co 1.211 1.211 2.633 ns sstl-2 class i 12 ma gclk t co 2.750 2.750 5.981 ns gclk pll t co 1.187 1.187 2.557 ns sstl-2 class ii 16 ma gclk t co 2.716 2.716 5.850 ns gclk pll t co 1.153 1.153 2.426 ns sstl-18 class i 4ma gclk t co 2.776 2.776 6.025 ns gclk pll t co 1.204 1.204 2.582 ns sstl-18 class i 6ma gclk t co 2.780 2.780 5.954 ns gclk pll t co 1.208 1.208 2.511 ns table 4?68. ep1agx60 row pins output timing parameters (part 2 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial
4?84 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?69 describes i/o timing specifications. sstl-18 class i 8ma gclk t co 2.756 2.756 5.937 ns gclk pll t co 1.184 1.184 2.494 ns sstl-18 class i 10 ma gclk t co 2.759 2.759 5.916 ns gclk pll t co 1.187 1.187 2.473 ns 1.8-v hstl class i 4ma gclk t co 2.757 2.757 5.935 ns gclk pll t co 1.185 1.185 2.492 ns 1.8-v hstl class i 6ma gclk t co 2.760 2.760 5.899 ns gclk pll t co 1.188 1.188 2.456 ns 1.8-v hstl class i 8ma gclk t co 2.742 2.742 5.895 ns gclk pll t co 1.170 1.170 2.452 ns 1.8-v hstl class i 10 ma gclk t co 2.746 2.746 5.884 ns gclk pll t co 1.174 1.174 2.441 ns 1.8-v hstl class i 12 ma gclk t co 2.737 2.737 5.883 ns gclk pll t co 1.165 1.165 2.440 ns 1.5-v hstl class i 4ma gclk t co 2.756 2.756 5.912 ns gclk pll t co 1.184 1.184 2.469 ns 1.5-v hstl class i 6ma gclk t co 2.759 2.759 5.898 ns gclk pll t co 1.187 1.187 2.455 ns 1.5-v hstl class i 8ma gclk t co 2.744 2.744 5.890 ns gclk pll t co 1.172 1.172 2.447 ns lv d s - gclk t co 2.787 2.787 6.037 ns gclk pll t co 1.228 1.228 2.618 ns table 4?68. ep1agx60 row pins output timing parameters (part 3 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial table 4?69. ep1agx60 column pins output timing parameters (part 1 of 5) io standard drive strength clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 3.036 3.036 6.963 ns gclk pll t co 1.466 1.466 3.528 ns
altera corporation 4?85 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv t t l 8ma gclk t co 2.891 2.891 6.591 ns gclk pll t co 1.321 1.321 3.156 ns 3.3-v lv t t l 12 ma gclk t co 2.824 2.824 6.591 ns gclk pll t co 1.254 1.254 3.156 ns 3.3-v lv t t l 16 ma gclk t co 2.798 2.798 6.422 ns gclk pll t co 1.228 1.228 2.987 ns 3.3-v lv t t l 20 ma gclk t co 2.776 2.776 6.297 ns gclk pll t co 1.206 1.206 2.862 ns 3.3-v lv t t l 24 ma gclk t co 2.769 2.769 6.299 ns gclk pll t co 1.199 1.199 2.864 ns 3.3-v lv c m o s 4ma gclk t co 2.891 2.891 6.591 ns gclk pll t co 1.321 1.321 3.156 ns 3.3-v lv c m o s 8ma gclk t co 2.799 2.799 6.296 ns gclk pll t co 1.229 1.229 2.861 ns 3.3-v lv c m o s 12 ma gclk t co 2.771 2.771 6.218 ns gclk pll t co 1.201 1.201 2.783 ns 3.3-v lv c m o s 16 ma gclk t co 2.778 2.778 6.186 ns gclk pll t co 1.208 1.208 2.751 ns 3.3-v lv c m o s 20 ma gclk t co 2.765 2.765 6.168 ns gclk pll t co 1.195 1.195 2.733 ns 3.3-v lv c m o s 24 ma gclk t co 2.754 2.754 6.146 ns gclk pll t co 1.184 1.184 2.711 ns 2.5 v 4 ma gclk t co 2.853 2.853 6.623 ns gclk pll t co 1.283 1.283 3.188 ns 2.5 v 8 ma gclk t co 2.801 2.801 6.361 ns gclk pll t co 1.231 1.231 2.926 ns 2.5 v 12 ma gclk t co 2.780 2.780 6.244 ns gclk pll t co 1.210 1.210 2.809 ns 2.5 v 16 ma gclk t co 2.762 2.762 6.170 ns gclk pll t co 1.192 1.192 2.735 ns table 4?69. ep1agx60 column pins output timing parameters (part 2 of 5) io standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?86 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance 1.8 v 2 ma gclk t co 2.893 2.893 7.615 ns gclk pll t co 1.323 1.323 4.180 ns 1.8 v 4 ma gclk t co 2.898 2.898 6.841 ns gclk pll t co 1.328 1.328 3.406 ns 1.8 v 6 ma gclk t co 2.822 2.822 6.577 ns gclk pll t co 1.252 1.252 3.142 ns 1.8 v 8 ma gclk t co 2.824 2.824 6.486 ns gclk pll t co 1.254 1.254 3.051 ns 1.8 v 10 ma gclk t co 2.778 2.778 6.409 ns gclk pll t co 1.208 1.208 2.974 ns 1.8 v 12 ma gclk t co 2.779 2.779 6.352 ns gclk pll t co 1.209 1.209 2.917 ns 1.5 v 2 ma gclk t co 2.873 2.873 7.145 ns gclk pll t co 1.303 1.303 3.710 ns 1.5 v 4 ma gclk t co 2.809 2.809 6.576 ns gclk pll t co 1.239 1.239 3.141 ns 1.5 v 6 ma gclk t co 2.812 2.812 6.458 ns gclk pll t co 1.242 1.242 3.023 ns 1.5 v 8 ma gclk t co 2.771 2.771 6.405 ns gclk pll t co 1.201 1.201 2.970 ns sstl-2 class i 8ma gclk t co 2.757 2.757 6.184 ns gclk pll t co 1.184 1.184 2.744 ns sstl-2 class i 12 ma gclk t co 2.740 2.740 6.134 ns gclk pll t co 1.167 1.167 2.694 ns sstl-2 class ii 16 ma gclk t co 2.718 2.718 6.061 ns gclk pll t co 1.145 1.145 2.621 ns sstl-2 class ii 20 ma gclk t co 2.719 2.719 6.048 ns gclk pll t co 1.146 1.146 2.608 ns sstl-2 class ii 24 ma gclk t co 2.715 2.715 6.046 ns gclk pll t co 1.142 1.142 2.606 ns table 4?69. ep1agx60 column pins output timing parameters (part 3 of 5) io standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?87 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-18 class i 4ma gclk t co 2.753 2.753 6.155 ns gclk pll t co 1.183 1.183 2.720 ns sstl-18 class i 6ma gclk t co 2.758 2.758 6.116 ns gclk pll t co 1.185 1.185 2.676 ns sstl-18 class i 8ma gclk t co 2.737 2.737 6.097 ns gclk pll t co 1.164 1.164 2.657 ns sstl-18 class i 10 ma gclk t co 2.742 2.742 6.095 ns gclk pll t co 1.169 1.169 2.655 ns sstl-18 class i 12 ma gclk t co 2.736 2.736 6.081 ns gclk pll t co 1.163 1.163 2.641 ns sstl-18 class ii 8ma gclk t co 2.725 2.725 6.047 ns gclk pll t co 1.152 1.152 2.607 ns sstl-18 class ii 16 ma gclk t co 2.737 2.737 6.025 ns gclk pll t co 1.164 1.164 2.585 ns sstl-18 class ii 18 ma gclk t co 2.733 2.733 6.033 ns gclk pll t co 1.160 1.160 2.593 ns sstl-18 class ii 20 ma gclk t co 2.733 2.733 6.031 ns gclk pll t co 1.160 1.160 2.591 ns 1.8-v hstl class i 4ma gclk t co 2.756 2.756 6.086 ns gclk pll t co 1.186 1.186 2.651 ns 1.8-v hstl class i 6ma gclk t co 2.762 2.762 6.071 ns gclk pll t co 1.189 1.189 2.631 ns 1.8-v hstl class i 8ma gclk t co 2.740 2.740 6.060 ns gclk pll t co 1.167 1.167 2.620 ns 1.8-v hstl class i 10 ma gclk t co 2.744 2.744 6.066 ns gclk pll t co 1.171 1.171 2.626 ns 1.8-v hstl class i 12 ma gclk t co 2.736 2.736 6.059 ns gclk pll t co 1.163 1.163 2.619 ns 1.8-v hstl class ii 16 ma gclk t co 2.719 2.719 5.823 ns gclk pll t co 1.146 1.146 2.383 ns table 4?69. ep1agx60 column pins output timing parameters (part 4 of 5) io standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?88 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance tables 4?70 through 4?71 show ep1agx60 regional clock ( rclk ) adder values that should be added to the gclk values. these adder values are used to determine i/o timing when the i/o pin is driven using the regional clock. this applies for all i/o standards supported by arria gx with general purpose i/o pins. 1.8-v hstl class ii 18 ma gclk t co 2.721 2.721 5.834 ns gclk pll t co 1.148 1.148 2.394 ns 1.8-v hstl class ii 20 ma gclk t co 2.721 2.721 5.843 ns gclk pll t co 1.148 1.148 2.403 ns 1.5-v hstl class i 4ma gclk t co 2.756 2.756 6.085 ns gclk pll t co 1.186 1.186 2.650 ns 1.5-v hstl class i 6ma gclk t co 2.761 2.761 6.063 ns gclk pll t co 1.188 1.188 2.623 ns 1.5-v hstl class i 8ma gclk t co 2.743 2.743 6.065 ns gclk pll t co 1.170 1.170 2.625 ns 1.5-v hstl class i 10 ma gclk t co 2.743 2.743 6.067 ns gclk pll t co 1.170 1.170 2.627 ns 1.5-v hstl class i 12 ma gclk t co 2.737 2.737 6.065 ns gclk pll t co 1.164 1.164 2.625 ns 1.5-v hstl class ii 16 ma gclk t co 2.724 2.724 5.877 ns gclk pll t co 1.151 1.151 2.437 ns 1.5-v hstl class ii 18 ma gclk t co 2.727 2.727 5.887 ns gclk pll t co 1.154 1.154 2.447 ns 1.5-v hstl class ii 20 ma gclk t co 2.729 2.729 5.900 ns gclk pll t co 1.156 1.156 2.460 ns 3.3-v pci - gclk t co 2.882 2.882 6.213 ns gclk pll t co 1.312 1.312 2.778 ns 3.3-v pci-x - gclk t co 2.882 2.882 6.213 ns gclk pll t co 1.312 1.312 2.778 ns lv d s - gclk t co 3.746 3.746 7.396 ns gclk pll t co 2.185 2.185 3.973 ns table 4?69. ep1agx60 column pins output timing parameters (part 5 of 5) io standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?89 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?70 describes row pin delay adders when using the regional clock in arria gx devices. table 4?71 describes column pin delay adders when using the regional clock in arria gx devices. table 4?70. ep1agx60 row pin de lay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.138 0.138 0.311 ns rclk pll input adder -0.003 -0.003 -0.006 ns rclk output adder -0.138 -0.138 -0.311 ns rclk pll output adder 0.003 0.003 0.006 ns table 4?71. ep1agx60 column pin delay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.153 0.153 0.344 ns rclk pll input adder -1.066 -1.066 -2.338 ns rclk output adder -0.153 -0.153 -0.343 ns rclk pll output adder 1.721 1.721 4.486 ns
4?90 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance ep1agx90 i/o timing parameters tables 4?72 through 4?75 show the maximum i/o timing parameters for ep1agx90 devices for i/o standards which support general purpose i/o pins. table 4?72 describes i/o timing specifications. table 4?72. ep1agx90 row pins input timing parameters (part 1 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.295 1.295 2.873 ns t h -1.190 -1.190 -2.596 ns gclk pll t su 3.366 3.366 7.017 ns t h -3.261 -3.261 -6.740 ns 3.3-v lv c m o s gclk t su 1.295 1.295 2.873 ns t h -1.190 -1.190 -2.596 ns gclk pll t su 3.366 3.366 7.017 ns t h -3.261 -3.261 -6.740 ns 2.5 v gclk t su 1.307 1.307 2.854 ns t h -1.202 -1.202 -2.577 ns gclk pll t su 3.378 3.378 6.998 ns t h -3.273 -3.273 -6.721 ns 1.8 v gclk t su 1.381 1.381 3.073 ns t h -1.276 -1.276 -2.796 ns gclk pll t su 3.434 3.434 7.191 ns t h -3.329 -3.329 -6.914 ns 1.5 v gclk t su 1.384 1.384 3.168 ns t h -1.279 -1.279 -2.891 ns gclk pll t su 3.437 3.437 7.286 ns t h -3.332 -3.332 -7.009 ns sstl-2 class i gclk t su 1.121 1.121 2.329 ns t h -1.016 -1.016 -2.052 ns gclk pll t su 3.187 3.187 6.466 ns t h -3.082 -3.082 -6.189 ns
altera corporation 4?91 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class ii gclk t su 1.121 1.121 2.329 ns t h -1.016 -1.016 -2.052 ns gclk pll t su 3.187 3.187 6.466 ns t h -3.082 -3.082 -6.189 ns sstl-18 class i gclk t su 1.159 1.159 2.447 ns t h -1.054 -1.054 -2.170 ns gclk pll t su 3.212 3.212 6.565 ns t h -3.107 -3.107 -6.288 ns sstl-18 class ii gclk t su 1.157 1.157 2.441 ns t h -1.052 -1.052 -2.164 ns gclk pll t su 3.235 3.235 6.597 ns t h -3.130 -3.130 -6.320 ns 1.8-v hstl class i gclk t su 1.159 1.159 2.447 ns t h -1.054 -1.054 -2.170 ns gclk pll t su 3.212 3.212 6.565 ns t h -3.107 -3.107 -6.288 ns 1.8-v hstl class ii gclk t su 1.157 1.157 2.441 ns t h -1.052 -1.052 -2.164 ns gclk pll t su 3.235 3.235 6.597 ns t h -3.130 -3.130 -6.320 ns 1.5-v hstl class i gclk t su 1.185 1.185 2.575 ns t h -1.080 -1.080 -2.298 ns gclk pll t su 3.238 3.238 6.693 ns t h -3.133 -3.133 -6.416 ns 1.5-v hstl class ii gclk t su 1.183 1.183 2.569 ns t h -1.078 -1.078 -2.292 ns gclk pll t su 3.261 3.261 6.725 ns t h -3.156 -3.156 -6.448 ns table 4?72. ep1agx90 row pins input timing parameters (part 2 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial
4?92 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?73 describes i/o timing specifications. lv d s gclk t su 1.098 1.098 2.439 ns t h -0.993 -0.993 -2.162 ns gclk pll t su 3.160 3.160 6.566 ns t h -3.055 -3.055 -6.289 ns table 4?72. ep1agx90 row pins input timing parameters (part 3 of 3) i/o standard clock parameter fast model -6 speed grade units industrial commercial table 4?73. ep1agx90 column pins input timing parameters (part 1 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lvttl gclk t su 1.018 1.018 2.290 ns t h -0.913 -0.913 -2.013 ns gclk pll t su 3.082 3.082 6.425 ns t h -2.977 -2.977 -6.148 ns 3.3-v lv c m o s gclk t su 1.018 1.018 2.290 ns t h -0.913 -0.913 -2.013 ns gclk pll t su 3.082 3.082 6.425 ns t h -2.977 -2.977 -6.148 ns 2.5 v gclk t su 1.028 1.028 2.272 ns t h -0.923 -0.923 -1.995 ns gclk pll t su 3.092 3.092 6.407 ns t h -2.987 -2.987 -6.130 ns 1.8 v gclk t su 1.094 1.094 2.482 ns t h -0.989 -0.989 -2.205 ns gclk pll t su 3.158 3.158 6.617 ns t h -3.053 -3.053 -6.340 ns 1.5 v gclk t su 1.097 1.097 2.575 ns t h -0.992 -0.992 -2.298 ns gclk pll t su 3.161 3.161 6.710 ns t h -3.056 -3.056 -6.433 ns
altera corporation 4?93 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class i gclk t su 0.844 0.844 1.751 ns t h -0.739 -0.739 -1.474 ns gclk pll t su 2.908 2.908 5.886 ns t h -2.803 -2.803 -5.609 ns sstl-2 class ii gclk t su 0.844 0.844 1.751 ns t h -0.739 -0.739 -1.474 ns gclk pll t su 2.908 2.908 5.886 ns t h -2.803 -2.803 -5.609 ns sstl-18 class i gclk t su 0.880 0.880 1.854 ns t h -0.775 -0.775 -1.577 ns gclk pll t su 2.944 2.944 5.989 ns t h -2.839 -2.839 -5.712 ns sstl-18 class ii gclk t su 0.883 0.883 1.858 ns t h -0.778 -0.778 -1.581 ns gclk pll t su 2.947 2.947 5.993 ns t h -2.842 -2.842 -5.716 ns 1.8-v hstl class i gclk t su 0.880 0.880 1.854 ns t h -0.775 -0.775 -1.577 ns gclk pll t su 2.944 2.944 5.989 ns t h -2.839 -2.839 -5.712 ns 1.8-v hstl class ii gclk t su 0.883 0.883 1.858 ns t h -0.778 -0.778 -1.581 ns gclk pll t su 2.947 2.947 5.993 ns t h -2.842 -2.842 -5.716 ns 1.5-v hstl class i gclk t su 0.898 0.898 1.982 ns t h -0.793 -0.793 -1.705 ns gclk pll t su 2.962 2.962 6.117 ns t h -2.857 -2.857 -5.840 ns table 4?73. ep1agx90 column pins input timing parameters (part 2 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial
4?94 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?74 describes i/o timing specifications. 1.5-v hstl class ii gclk t su 0.901 0.901 1.986 ns t h -0.796 -0.796 -1.709 ns gclk pll t su 2.965 2.965 6.121 ns t h -2.860 -2.860 -5.844 ns 3.3-v pci gclk t su 1.023 1.023 2.278 ns t h -0.918 -0.918 -2.001 ns gclk pll t su 3.087 3.087 6.413 ns t h -2.982 -2.982 -6.136 ns 3.3-v pci-x gclk t su 1.023 1.023 2.278 ns t h -0.918 -0.918 -2.001 ns gclk pll t su 3.087 3.087 6.413 ns t h -2.982 -2.982 -6.136 ns lv d s gclk t su 0.891 0.891 1.920 ns t h -0.786 -0.786 -1.643 ns gclk pll t su 2.963 2.963 6.066 ns t h -2.858 -2.858 -5.789 ns table 4?73. ep1agx90 column pins input timing parameters (part 3 of 3) i/o standard clock parameter fast corner -6 speed grade units industrial commercial table 4?74. ep1agx90 row pins output timing parameters (part 1 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 3.170 3.170 7.382 ns gclk pll t co 1.099 1.099 3.238 ns 3.3-v lv t t l 8ma gclk t co 3.042 3.042 6.742 ns gclk pll t co 0.971 0.971 2.598 ns 3.3-v lv t t l 12 ma gclk t co 2.986 2.986 6.705 ns gclk pll t co 0.915 0.915 2.561 ns 3.3-v lv c m o s 4ma gclk t co 3.042 3.042 6.742 ns gclk pll t co 0.971 0.971 2.598 ns
altera corporation 4?95 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv c m o s 8ma gclk t co 2.936 2.936 6.436 ns gclk pll t co 0.865 0.865 2.292 ns 2.5 v 4 ma gclk t co 3.025 3.025 6.716 ns gclk pll t co 0.954 0.954 2.572 ns 2.5 v 8 ma gclk t co 2.922 2.922 6.458 ns gclk pll t co 0.851 0.851 2.314 ns 2.5 v 12 ma gclk t co 2.903 2.903 6.344 ns gclk pll t co 0.832 0.832 2.200 ns 1.8 v 2 ma gclk t co 3.087 3.087 7.723 ns gclk pll t co 1.034 1.034 3.605 ns 1.8 v 4 ma gclk t co 3.076 3.076 6.944 ns gclk pll t co 1.023 1.023 2.826 ns 1.8 v 6 ma gclk t co 2.965 2.965 6.643 ns gclk pll t co 0.912 0.912 2.525 ns 1.8 v 8 ma gclk t co 2.934 2.934 6.529 ns gclk pll t co 0.881 0.881 2.411 ns 1.5 v 2 ma gclk t co 3.047 3.047 7.222 ns gclk pll t co 0.994 0.994 3.104 ns 1.5 v 4 ma gclk t co 2.940 2.940 6.621 ns gclk pll t co 0.887 0.887 2.503 ns sstl-2 class i 8ma gclk t co 2.890 2.890 6.294 ns gclk pll t co 0.824 0.824 2.157 ns sstl-2 class i 12 ma gclk t co 2.866 2.866 6.218 ns gclk pll t co 0.800 0.800 2.081 ns sstl-2 class ii 16 ma gclk t co 2.832 2.832 6.087 ns gclk pll t co 0.766 0.766 1.950 ns sstl-18 class i 4ma gclk t co 2.872 2.872 6.227 ns gclk pll t co 0.819 0.819 2.109 ns sstl-18 class i 6ma gclk t co 2.878 2.878 6.162 ns gclk pll t co 0.800 0.800 2.006 ns table 4?74. ep1agx90 row pins output timing parameters (part 2 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial
4?96 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?75 describes i/o timing specifications. sstl-18 class i 8ma gclk t co 2.854 2.854 6.145 ns gclk pll t co 0.776 0.776 1.989 ns sstl-18 class i 10 ma gclk t co 2.857 2.857 6.124 ns gclk pll t co 0.779 0.779 1.968 ns 1.8-v hstl class i 4ma gclk t co 2.853 2.853 6.137 ns gclk pll t co 0.800 0.800 2.019 ns 1.8-v hstl class i 6ma gclk t co 2.858 2.858 6.107 ns gclk pll t co 0.780 0.780 1.951 ns 1.8-v hstl class i 8ma gclk t co 2.840 2.840 6.103 ns gclk pll t co 0.762 0.762 1.947 ns 1.8-v hstl class i 10 ma gclk t co 2.844 2.844 6.092 ns gclk pll t co 0.766 0.766 1.936 ns 1.8-v hstl class i 12 ma gclk t co 2.835 2.835 6.091 ns gclk pll t co 0.757 0.757 1.935 ns 1.5-v hstl class i 4ma gclk t co 2.852 2.852 6.114 ns gclk pll t co 0.799 0.799 1.996 ns 1.5-v hstl class i 6ma gclk t co 2.857 2.857 6.106 ns gclk pll t co 0.779 0.779 1.950 ns 1.5-v hstl class i 8ma gclk t co 2.842 2.842 6.098 ns gclk pll t co 0.764 0.764 1.942 ns lv d s - gclk t co 2.898 2.898 6.265 ns gclk pll t co 0.831 0.831 2.129 ns table 4?74. ep1agx90 row pins output timing parameters (part 3 of 3) i/o standard drive strength clock parameter fast model -6 speed grade units industrial commercial table 4?75. ep1agx90 column pins output timing parameters (part 1 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial 3.3-v lv t t l 4ma gclk t co 3.141 3.141 7.164 ns gclk pll t co 1.077 1.077 3.029 ns
altera corporation 4?97 may 2008 arria gx device handbook, volume 1 dc and switching characteristics 3.3-v lv t t l 8ma gclk t co 2.996 2.996 6.792 ns gclk pll t co 0.932 0.932 2.657 ns 3.3-v lv t t l 12 ma gclk t co 2.929 2.929 6.792 ns gclk pll t co 0.865 0.865 2.657 ns 3.3-v lv t t l 16 ma gclk t co 2.903 2.903 6.623 ns gclk pll t co 0.839 0.839 2.488 ns 3.3-v lv t t l 20 ma gclk t co 2.881 2.881 6.498 ns gclk pll t co 0.817 0.817 2.363 ns 3.3-v lv t t l 24 ma gclk t co 2.874 2.874 6.500 ns gclk pll t co 0.810 0.810 2.365 ns 3.3-v lv c m o s 4ma gclk t co 2.996 2.996 6.792 ns gclk pll t co 0.932 0.932 2.657 ns 3.3-v lv c m o s 8ma gclk t co 2.904 2.904 6.497 ns gclk pll t co 0.840 0.840 2.362 ns 3.3-v lv c m o s 12 ma gclk t co 2.876 2.876 6.419 ns gclk pll t co 0.812 0.812 2.284 ns 3.3-v lv c m o s 16 ma gclk t co 2.883 2.883 6.387 ns gclk pll t co 0.819 0.819 2.252 ns 3.3-v lv c m o s 20 ma gclk t co 2.870 2.870 6.369 ns gclk pll t co 0.806 0.806 2.234 ns 3.3-v lv c m o s 24 ma gclk t co 2.859 2.859 6.347 ns gclk pll t co 0.795 0.795 2.212 ns 2.5 v 4 ma gclk t co 2.958 2.958 6.824 ns gclk pll t co 0.894 0.894 2.689 ns 2.5 v 8 ma gclk t co 2.906 2.906 6.562 ns gclk pll t co 0.842 0.842 2.427 ns 2.5 v 12 ma gclk t co 2.885 2.885 6.445 ns gclk pll t co 0.821 0.821 2.310 ns 2.5 v 16 ma gclk t co 2.867 2.867 6.371 ns gclk pll t co 0.803 0.803 2.236 ns table 4?75. ep1agx90 column pins output timing parameters (part 2 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?98 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance 1.8 v 2 ma gclk t co 2.998 2.998 7.816 ns gclk pll t co 0.934 0.934 3.681 ns 1.8 v 4 ma gclk t co 3.003 3.003 7.042 ns gclk pll t co 0.939 0.939 2.907 ns 1.8 v 6 ma gclk t co 2.927 2.927 6.778 ns gclk pll t co 0.863 0.863 2.643 ns 1.8 v 8 ma gclk t co 2.929 2.929 6.687 ns gclk pll t co 0.865 0.865 2.552 ns 1.8 v 10 ma gclk t co 2.883 2.883 6.610 ns gclk pll t co 0.819 0.819 2.475 ns 1.8 v 12 ma gclk t co 2.884 2.884 6.553 ns gclk pll t co 0.820 0.820 2.418 ns 1.5 v 2 ma gclk t co 2.978 2.978 7.346 ns gclk pll t co 0.914 0.914 3.211 ns 1.5 v 4 ma gclk t co 2.914 2.914 6.777 ns gclk pll t co 0.850 0.850 2.642 ns 1.5 v 6 ma gclk t co 2.917 2.917 6.659 ns gclk pll t co 0.853 0.853 2.524 ns 1.5 v 8 ma gclk t co 2.876 2.876 6.606 ns gclk pll t co 0.812 0.812 2.471 ns sstl-2 class i 8ma gclk t co 2.859 2.859 6.381 ns gclk pll t co 0.797 0.797 2.250 ns sstl-2 class i 12 ma gclk t co 2.842 2.842 6.331 ns gclk pll t co 0.780 0.780 2.200 ns sstl-2 class ii 16 ma gclk t co 2.820 2.820 6.258 ns gclk pll t co 0.758 0.758 2.127 ns sstl-2 class ii 20 ma gclk t co 2.821 2.821 6.245 ns gclk pll t co 0.759 0.759 2.114 ns sstl-2 class ii 24 ma gclk t co 2.817 2.817 6.243 ns gclk pll t co 0.755 0.755 2.112 ns table 4?75. ep1agx90 column pins output timing parameters (part 3 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?99 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-18 class i 4ma gclk t co 2.858 2.858 6.356 ns gclk pll t co 0.794 0.794 2.221 ns sstl-18 class i 6ma gclk t co 2.860 2.860 6.313 ns gclk pll t co 0.798 0.798 2.182 ns sstl-18 class i 8ma gclk t co 2.839 2.839 6.294 ns gclk pll t co 0.777 0.777 2.163 ns sstl-18 class i 10 ma gclk t co 2.844 2.844 6.292 ns gclk pll t co 0.782 0.782 2.161 ns sstl-18 class i 12 ma gclk t co 2.838 2.838 6.278 ns gclk pll t co 0.776 0.776 2.147 ns sstl-18 class ii 8ma gclk t co 2.827 2.827 6.244 ns gclk pll t co 0.765 0.765 2.113 ns sstl-18 class ii 16 ma gclk t co 2.839 2.839 6.222 ns gclk pll t co 0.777 0.777 2.091 ns sstl-18 class ii 18 ma gclk t co 2.835 2.835 6.230 ns gclk pll t co 0.773 0.773 2.099 ns sstl-18 class ii 20 ma gclk t co 2.835 2.835 6.228 ns gclk pll t co 0.773 0.773 2.097 ns 1.8-v hstl class i 4ma gclk t co 2.861 2.861 6.287 ns gclk pll t co 0.797 0.797 2.152 ns 1.8-v hstl class i 6ma gclk t co 2.864 2.864 6.268 ns gclk pll t co 0.802 0.802 2.137 ns 1.8-v hstl class i 8ma gclk t co 2.842 2.842 6.257 ns gclk pll t co 0.780 0.780 2.126 ns 1.8-v hstl class i 10 ma gclk t co 2.846 2.846 6.263 ns gclk pll t co 0.784 0.784 2.132 ns 1.8-v hstl class i 12 ma gclk t co 2.838 2.838 6.256 ns gclk pll t co 0.776 0.776 2.125 ns 1.8-v hstl class ii 16 ma gclk t co 2.821 2.821 6.020 ns gclk pll t co 0.759 0.759 1.889 ns table 4?75. ep1agx90 column pins output timing parameters (part 4 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
4?100 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance tables 4?76 through 4?77 show the ep1agx90 regional clock ( rclk ) adder values that should be added to the gclk values. these adder values are used to determine i/o timi ng when the i/o pin is driven using the regional clock. this applies for all i/o standards supported by arria gx with general purpose i/o pins. 1.8-v hstl class ii 18 ma gclk t co 2.823 2.823 6.031 ns gclk pll t co 0.761 0.761 1.900 ns 1.8-v hstl class ii 20 ma gclk t co 2.823 2.823 6.040 ns gclk pll t co 0.761 0.761 1.909 ns 1.5-v hstl class i 4ma gclk t co 2.861 2.861 6.286 ns gclk pll t co 0.797 0.797 2.151 ns 1.5-v hstl class i 6ma gclk t co 2.863 2.863 6.260 ns gclk pll t co 0.801 0.801 2.129 ns 1.5-v hstl class i 8ma gclk t co 2.845 2.845 6.262 ns gclk pll t co 0.783 0.783 2.131 ns 1.5-v hstl class i 10 ma gclk t co 2.845 2.845 6.264 ns gclk pll t co 0.783 0.783 2.133 ns 1.5-v hstl class i 12 ma gclk t co 2.839 2.839 6.262 ns gclk pll t co 0.777 0.777 2.131 ns 1.5-v hstl class ii 16 ma gclk t co 2.826 2.826 6.074 ns gclk pll t co 0.764 0.764 1.943 ns 1.5-v hstl class ii 18 ma gclk t co 2.829 2.829 6.084 ns gclk pll t co 0.767 0.767 1.953 ns 1.5-v hstl class ii 20 ma gclk t co 2.831 2.831 6.097 ns gclk pll t co 0.769 0.769 1.966 ns 3.3-v pci - gclk t co 2.987 2.987 6.414 ns gclk pll t co 0.923 0.923 2.279 ns 3.3-v pci-x - gclk t co 2.987 2.987 6.414 ns gclk pll t co 0.923 0.923 2.279 ns lv d s - gclk t co 3.835 3.835 7.541 ns gclk pll t co 1.769 1.769 3.404 ns table 4?75. ep1agx90 column pins output timing parameters (part 5 of 5) i/o standard drive strength clock parameter fast corner -6 speed grade units industrial commercial
altera corporation 4?101 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?76 describes row pin delay adders when using the regional clock in arria gx devices. table 4?77 describes column pin delay adders when using the regional clock in arria gx devices. table 4?76. ep1agx90 row pin de lay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.175 0.175 0.418 ns rclk pll input adder 0.007 0.007 0.015 ns rclk output adder -0.175 -0.175 -0.418 ns rclk pll output adder -0.007 -0.007 -0.015 ns table 4?77. ep1agx90 column pin delay adders for regional clock parameter fast corner -6 speed grade units industrial commercial rclk input adder 0.138 0.138 0.354 ns rclk pll input adder -1.697 -1.697 -3.607 ns rclk output adder -0.138 -0.138 -0.353 ns rclk pll output adder 1.966 1.966 5.188 ns
4?102 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance dedicated clock pin timing tables 4?79 to 4?98 show clock pin timing for arria gx devices when the clock is driven by the gl obal clock, region al clock, periph ery clock, and a pll. tables 4?78 describes arria gx cl ock timing parameters. ep1agx20 clock timing parameters tables 4?79 through 4?80 show the gclk clock timing parameters for ep1agx20 devices. table 4?79 describes clock timing specifications. table 4?80 describes clock timing specifications. table 4?78. arria gx clock timing parameters symbol parameter t cin delay from clock pad to i/o input register t cout delay from clock pad to i/o output register t pllcin delay from pll inclk pad to i/o input register t pllcout delay from pll inclk pad to i/o output register table 4?79. ep1agx20 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial tcin 1.394 1.394 3.161 ns tcout 1.399 1.399 3.155 ns tpllcin -0.027 -0.027 0.091 ns tpllcout -0.022 -0.022 0.085 ns table 4?80. ep1agx20 row pins global clock timing parameters (part 1 parameter fast model -6 speed grade units industrial commercial t cin 1.655 1.655 3.726 ns t cout 1.655 1.655 3.726 ns
altera corporation 4?103 may 2008 arria gx device handbook, volume 1 dc and switching characteristics tables 4?81 through 4?82 show the rclk clock timing parameters for ep1agx20 devices. table 4?81 describes clock timing specifications. table 4?82 describes clock timing specifications. t pllcin 0.236 0.236 0.655 ns t pllcout 0.236 0.236 0.655 ns table 4?81. ep1agx20 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.283 1.283 2.901 ns t cout 1.288 1.288 2.895 ns t pllcin -0.034 -0.034 0.077 ns t pllcout -0.029 -0.029 0.071 ns table 4?82. ep1agx20 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.569 1.569 3.487 ns t cout 1.569 1.569 3.487 ns t pllcin 0.278 0.278 0.706 ns t pllcout 0.278 0.278 0.706 ns table 4?80. ep1agx20 row pins global clock timing parameters (part 2 parameter fast model -6 speed grade units industrial commercial
4?104 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance ep1agx35 clock timing parameters tables 4?83 through 4?84 show the gclk clock timing parameters for ep1agx35 devices. table 4?83 describes clock timing specifications. table 4?84 describes clock timing specifications. tables 4?85 through 4?86 show the rclk clock timing parameters for ep1agx35 devices. table 4?85 describes clock timing specifications. table 4?83. ep1agx35 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.394 1.394 3.161 ns t cout 1.399 1.399 3.155 ns t pllcin -0.027 -0.027 0.091 ns t pllcout -0.022 -0.022 0.085 ns table 4?84. ep1agx35 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.655 1.655 3.726 ns t cout 1.655 1.655 3.726 ns t pllcin 0.236 0.236 0.655 ns t pllcout 0.236 0.236 0.655 ns table 4?85. ep1agx35 row pins regional clock timing parameters (part parameter fast model -6 speed grade units industrial commercial t cin 1.283 1.283 2.901 ns t cout 1.288 1.288 2.895 ns
altera corporation 4?105 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?86 describes clock timing specifications. ep1agx50 clock timing parameters tables 4?87 through 4?88 show the gclk clock timing parameters for ep1agx50 devices. table 4?87 describes clock timing specifications. t pllcin -0.034 -0.034 0.077 ns t pllcout -0.029 -0.029 0.071 ns table 4?86. ep1agx35 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.569 1.569 3.487 ns t cout 1.569 1.569 3.487 ns t pllcin 0.278 0.278 0.706 ns t pllcout 0.278 0.278 0.706 ns table 4?87. ep1agx50 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.529 1.529 3.587 ns t cout 1.534 1.534 3.581 ns t pllcin -0.024 -0.024 0.181 ns t pllcout -0.019 -0.019 0.175 ns table 4?85. ep1agx35 row pins regional clock timing parameters (part parameter fast model -6 speed grade units industrial commercial
4?106 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?88 describes clock timing specifications. tables 4?89 through 4?90 show the rclk clock timing parameters for ep1agx50 devices. table 4?89 describes clock timing specifications. table 4?90 describes clock timing specifications. table 4?88. ep1agx50 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.793 1.793 4.165 ns t cout 1.793 1.793 4.165 ns t pllcin 0.238 0.238 0.758 ns t pllcout 0.238 0.238 0.758 ns table 4?89. ep1agx50 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.396 1.396 3.287 ns t cout 1.401 1.401 3.281 ns t pllcin -0.017 -0.017 0.195 ns t pllcout -0.012 -0.012 0.189 ns table 4?90. ep1agx50 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.653 1.653 3.841 ns t cout 1.651 1.651 3.839 ns t pllcin 0.245 0.245 0.755 ns t pllcout 0.245 0.245 0.755 ns
altera corporation 4?107 may 2008 arria gx device handbook, volume 1 dc and switching characteristics ep1agx60 clock timing parameters tables 4?91 through 4?92 show the gclk clock timing parameters for ep1agx60 devices. table 4?91 describes clock timing specifications. table 4?92 describes clock timing specifications. tables 4?93 through 4?94 show the rclk clock timing parameters for ep1agx60 devices. table 4?93 describes clock timing specifications. table 4?91. ep1agx60 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.531 1.531 3.593 ns t cout 1.536 1.536 3.587 ns t pllcin -0.023 -0.023 0.188 ns t pllcout -0.018 -0.018 0.182 ns table 4?92. ep1agx60 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.792 1.792 4.165 ns t cout 1.792 1.792 4.165 ns t pllcin 0.238 0.238 0.758 ns t pllcout 0.238 0.238 0.758 ns table 4?93. ep1agx60 row pins regio nal clock timing parameters (part parameter fast model -6 speed grade units industrial commercial t cin 1.382 1.382 3.268 ns t cout 1.387 1.387 3.262 ns
4?108 altera corporation arria gx device handbook, volume 1 may 2008 typical design performance table 4?94 describes clock timing specifications. ep1agx90 clock timing parameters tables 4?95 through 4?96 show the gclk clock timing parameters for ep1agx90 devices. table 4?95 describes clock timing specifications. t pllcin -0.031 -0.031 0.174 ns t pllcout -0.026 -0.026 0.168 ns table 4?94. ep1agx60 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.649 1.649 3.835 ns t cout 1.651 1.651 3.839 ns t pllcin 0.245 0.245 0.755 ns t pllcout 0.245 0.245 0.755 ns table 4?95. ep1agx90 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.630 1.630 3.799 ns t cout 1.635 1.635 3.793 ns t pllcin -0.422 -0.422 -0.310 ns t pllcout -0.417 -0.417 -0.316 ns table 4?93. ep1agx60 row pins regio nal clock timing parameters (part parameter fast model -6 speed grade units industrial commercial
altera corporation 4?109 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?96 describes clock timing specifications. tables 4?97 through 4?98 show the rclk clock timing parameters for ep1agx90 devices. table 4?97 describes clock timing specifications. table 4?98 describes clock timing specifications. table 4?96. ep1agx90 row pins global clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.904 1.904 4.376 ns t cout 1.904 1.904 4.376 ns t pllcin -0.153 -0.153 0.254 ns t pllcout -0.153 -0.153 0.254 ns table 4?97. ep1agx90 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.462 1.462 3.407 ns t cout 1.467 1.467 3.401 ns t pllcin -0.430 -0.430 -0.322 ns t pllcout -0.425 -0.425 -0.328 ns table 4?98. ep1agx90 row pins regional clock timing parameters parameter fast model -6 speed grade units industrial commercial t cin 1.760 1.760 4.011 ns t cout 1.760 1.760 4.011 ns t pllcin -0.118 -0.118 0.303 ns t pllcout -0.118 -0.118 0.303 ns
4?110 altera corporation arria gx device handbook, volume 1 may 2008 block performance block performance table 4?99 shows the arria gx performa nce for some common designs. all performance values were obtain ed with the quartus ii software compilation of library of paramete rized modules (lpm) or megacore functions for finite impulse response (fir) and fast fourier transform (fft) designs. table 4?99 describes performance notes. table 4?99. arria gx performance notes (part 1 of 3) applications resources used performance aluts trimatrix memory blocks dsp blocks -6 speed grade le 16-to-1 multiplexer 5 0 0 168.41 32-to-1 multiplexer 11 0 0 334.11 16-bit counter 16 0 0 374.0 64-bit counter 64 0 0 168.41 tr i m a t r i x memory m512 block simple dual-port ram 32 x 18 bit 0 1 0 348.0 fifo 32 x 18 bit 0 1 0 333.22 tr i m a t r i x memory m4k block simple dual-port ram 128 x 36 bit 0 1 0 344.71 true dual-port ram 128 x 18 bit 0 1 0 348.0
altera corporation 4?111 may 2008 arria gx device handbook, volume 1 dc and switching characteristics tr i m a t r i x memory megaram block single port ram 4k x 144 bit 0 2 0 244.0 simple dual-port ram 4k x 144 bit 0 1 0 292.0 true dual-port ram 4k x 144 bit 0 2 0 244.0 single port ram 8k x 72 bit 0 1 0 247.0 simple dual-port ram 8k x 72 bit 0 1 0 292.0 single port ram 16k x 36 bit 0 1 0 254.0 simple dual-port ram 16k x 36 bit 0 1 0 292.0 true dual-port ram 16k x 36 bit 0 1 0 251.0 single port ram 32k x 18 bit 0 1 0 317.36 simple dual-port ram 32k x 18 bit 0 1 0 292.0 true dual-port ram 32k x 18 bit 0 1 0 251.0 single port ram 64k x 9 bit 0 1 0 254.0 simple dual-port ram 64k x 9 bit 0 1 0 292.0 true dual-port ram 64k x 9 bit 0 1 0 251.0 table 4?99. arria gx performance notes (part 2 of 3) applications resources used performance aluts trimatrix memory blocks dsp blocks -6 speed grade
4?112 altera corporation arria gx device handbook, volume 1 may 2008 ioe programmable delay ioe programmable delay refer to tables 4?100 to 4?101 for ioe programmable delay. table 4?100 describes ioe programmable delays. dsp block 9 x 9-bit multiplier 0 0 1 335.35 18 x 18-bit multiplier 0 0 2 285.0 18 x 18-bit multiplier 0 0 4 335.35 36 x 36-bit multiplier 0 0 8 174.4 36 x 36-bit multiplier 0 0 8 285.0 18-bit 4-tap fir filter 0 0 8 163.0 larger designs 8-bit 16-tap parallel fir filter 0 0 4 163.0 table 4?99. arria gx performance notes (part 3 of 3) applications resources used performance aluts trimatrix memory blocks dsp blocks -6 speed grade table 4?100. arria gx ioe programmable delay on row pins (part 1 of 2) parameter paths affected available settings fast model -6 speed grade units industrial commercial min offset max offset min offset max offset min offset max offset input delay from pin to internal cells pad to i/o dataout to core 8 0 1.782 0 1.782 0 4.124 ns input delay from pin to input register pad to i/o input register 64 0 2.054 0 2.054 0 4.689 ns
altera corporation 4?113 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?101 describes ioe programmable delays. maximum input and output clock toggle rate maximum clock toggle rate is de fined as the maximum frequency achievable for a clock type signal at an i/o pin. the i/o pin can be a regular i/o pin or a de dicated clock i/o pin. the maximum clock toggle rate is different from the maximum data bit rate. if the maximum clock toggle rate on a regular i/o pin is 300 mhz, the maximum data bit rate for dual data rate (ddr) could be potentially as high as 600 mbps on the same i/o pin. delay from output register to output pin i/o output register to pad 2 0 0.332 0 0.332 0 0.717 ns output enable pin delay txz/tzx 2 0 0.32 0 0.32 0 0.693 ns table 4?100. arria gx ioe programmable delay on row pins (part 2 of 2) parameter paths affected available settings fast model -6 speed grade units industrial commercial min offset max offset min offset max offset min offset max offset table 4?101. arria gx ioe progra mmable delay on column pins parameter paths affected available settings fast model -6 speed grade units industrial commercial min offset max offset min offset max offset min offset max offset input delay from pin to internal cells pad to i/o dataout to core 8 0 1.781 0 1.781 0 4.132 ns input delay from pin to input register pad to i/o input register 64 0 2.053 0 2.053 0 4.697 ns delay from output register to output pin i/o output register to pad 2 0 0.332 0 0.332 0 0.717 ns output enable pin delay txz/tzx 2 0 0.32 0 0.32 0 0.693 ns
4?114 altera corporation arria gx device handbook, volume 1 may 2008 maximum input and output clock toggle rate to calculate the output toggle rate for a non 0 pf load, use this formula: the toggle rate for a non 0 pf load = 1,000 / (1,000/ toggle rate at 0 pf load + derating factor load value in pf /1,000) for example, the output toggle rate at 0 pf load for sstl-18 class ii 20 ma i/o standard is 550 mhz on a -3 device clock output pin. the derating factor is 94 ps/pf. for a 10 pf load the toggle ra te is calculated as: 1,000 / (1,000/550 + 94 10 /1,000) = 363 (mhz) table 4?102 shows the maximum input cloc k toggle rates for arria gx device column i/o pins. table 4?102. arria gx maximum input t oggle rate for column i/o pins i/o standards -6 speed grade units 3.3-v lvttl 420 mhz 3.3-v lvcmos 420 mhz 2.5 v 420 mhz 1.8 v 420 mhz 1.5 v 420 mhz sstl-2 class i 467 mhz sstl-2 class ii 467 mhz sstl-18 class i 467 mhz sstl-18 class ii 467 mhz 1.8-v hstl class i 467 mhz 1.8-v hstl class ii 467 mhz 1.5-v hstl class i 467 mhz 1.5-v hstl class ii 467 mhz 3.3-v pci 420 mhz 3.3-v pci-x 420 mhz
altera corporation 4?115 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?103 shows the maximum input cloc k toggle rates for arria gx device row i/o pins. table 4?104 shows the maximum input cloc k toggle rates for arria gx device dedicated clock pins. table 4?103. arria gx maximum input toggle rate for row i/o pins i/o standards -6 speed grade units 3.3-v lvttl 420 mhz 3.3-v lvcmos 420 mhz 2.5 v 420 mhz 1.8 v 420 mhz 1.5 v 420 mhz sstl-2 class i 467 mhz sstl-2 class ii 467 mhz sstl-18 class i 467 mhz sstl-18 class ii 467 mhz 1.8-v hstl class i 467 mhz 1.8-v hstl class ii 467 mhz 1.5-v hstl class i 467 mhz 1.5-v hstl class ii 467 mhz lvds 392 mhz table 4?104. arria gx maximum input cloc k rate for dedica ted clock pins (part 1 of 2) i/o standards -6 speed grade units 3.3-v lvttl 373 mhz 3.3-v lvcmos 373 mhz 2.5 v 373 mhz 1.8 v 373 mhz 1.5 v 373 mhz sstl-2 class i 467 mhz sstl-2 class ii 467 mhz 3.3-v pci 373 mhz 3.3-v pci-x 373 mhz sstl-18 class i 467 mhz
4?116 altera corporation arria gx device handbook, volume 1 may 2008 maximum input and output clock toggle rate sstl-18 class ii 467 mhz 1.8-v hstl class i 467 mhz 1.8-v hstl class ii 467 mhz 1.5-v hstl class i 467 mhz 1.5-v hstl class ii 467 mhz 1.2-v hstl 233 mhz differental sstl-2 467 mhz differential 2.5-v sstl class ii 467 mhz differential 1.8-v sstl class i 467 mhz differential 1.8-v sstl class ii 467 mhz differential 1.8-v hstl class i 467 mhz differential 1.8-v hstl class ii 467 mhz differential 1.5-v hstl class i 467 mhz differential 1.5-v hstl class ii 467 mhz differential 1.2-v hstl 233 mhz lvds 598 mhz lv d s (1) 373 mhz note to table 4?104 : (1) this set of numbers refers to the vio dedicated input clock pins. table 4?104. arria gx maximum input cloc k rate for dedica ted clock pins (part 2 of 2) i/o standards -6 speed grade units
altera corporation 4?117 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?105 shows the maximum output clock toggle rates for arria gx device column i/o pins. table 4?105. arria gx maximum output toggle rate for column i/o pins (part 1 of 2) i/o standards drive strength -6 speed grade units 3.3-v lvttl 4ma 196 mhz 8ma 303 mhz 12 ma 393 mhz 16 ma 486 mhz 20 ma 570 mhz 24 ma 626 mhz 3.3-v lvcmos 4ma 215 mhz 8ma 411 mhz 12 ma 626 mhz 16 ma 819 mhz 20 ma 874 mhz 24 ma 934 mhz 2.5 v 4ma 168 mhz 8ma 355 mhz 12 ma 514 mhz 16 ma 766 mhz 1.8 v 2ma 97 mhz 4ma 215 mhz 6ma 336 mhz 8ma 486 mhz 10 ma 706 mhz 12 ma 925 mhz 1.5 v 2ma 168 mhz 4ma 303 mhz 6ma 350 mhz 8ma 392 mhz sstl-2 class i 8ma 280 mhz 12 ma 327 mhz sstl-2 class ii 16 ma 280 mhz 20 ma 327 mhz 24 ma 327 mhz
4?118 altera corporation arria gx device handbook, volume 1 may 2008 maximum input and output clock toggle rate sstl-18 class i 4ma 140 mhz 6ma 186 mhz 8ma 280 mhz 10 ma 373 mhz 12 ma 373 mhz sstl-18 class ii 8ma 140 mhz 16 ma 327 mhz 18 ma 373 mhz 20 ma 420 mhz 1.8-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10 ma 561 mhz 12 ma 607 mhz 1.8-v hstl class ii 16 ma 420 mhz 18 ma 467 mhz 20 ma 514 mhz 1.5-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10 ma 607 mhz 12 ma 654 mhz 1.5-v hstl class ii 16 ma 514 mhz 18 ma 561 mhz 20 ma 561 mhz 3.3-v pci ma 626 mhz 3.3-v pci-x ma 626 mhz table 4?105. arria gx maximum output toggle rate for column i/o pins (part 2 of 2) i/o standards drive strength -6 speed grade units
altera corporation 4?119 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?106 shows the maximum output clock toggle rates for arria gx device row i/o pins. table 4?106. arria gx maximum output toggle rate for row i/o pins i/o standards drive strength -6 speed grade units 3.3-v lvttl 4ma 196 mhz 8ma 303 mhz 12 ma 393 mhz 3.3-v lvcmos 4ma 215 mhz 8ma 411 mhz 2.5 v 4ma 168 mhz 8ma 355 mhz 12 ma 514 mhz 1.8 v 2ma 97 mhz 4ma 215 mhz 6ma 336 mhz 8ma 486 mhz 1.5 v 2ma 168 mhz 4ma 303 mhz sstl-2 class i 8ma 280 mhz 12 ma 327 mhz sstl-2 class ii 16 ma 280 mhz sstl-18 class i 4ma 140 mhz 6ma 186 mhz 8ma 280 mhz 10 ma 373 mhz 1.8-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10 ma 561 mhz 12 ma 607 mhz 1.5-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz lv d s m a 5 9 8 m h z
4?120 altera corporation arria gx device handbook, volume 1 may 2008 maximum input and output clock toggle rate table 4?107 describes maximum output cl ock rate for dedicated clock pins. table 4?107. arria gx maximum output cl ock rate for dedicated clock pins (part 1 of 4) i/o standards drive strength -6 speed grade units 3.3-v lvttl 4ma 196 mhz 8ma 303 mhz 12 ma 393 mhz 16 ma 486 mhz 20 ma 570 mhz 24 ma 626 mhz 3.3-v lvcmos 4ma 215 mhz 8ma 411 mhz 12 ma 626 mhz 16 ma 819 mhz 20 ma 874 mhz 24 ma 934 mhz 2.5 v 4ma 168 mhz 8ma 355 mhz 12 ma 514 mhz 16 ma 766 mhz 1.8 v 2ma 97 mhz 4ma 215 mhz 6ma 336 mhz 8ma 486 mhz 10 ma 706 mhz 12 ma 925 mhz 1.5 v 2ma 168 mhz 4ma 303 mhz 6ma 350 mhz 8ma 392 mhz sstl-2 class i 8ma 280 mhz 12 ma 327 mhz
altera corporation 4?121 may 2008 arria gx device handbook, volume 1 dc and switching characteristics sstl-2 class ii 16 ma 280 mhz 20 ma 327 mhz 24 ma 327 mhz sstl-18 class i 4ma 140 mhz 6ma 186 mhz 8ma 280 mhz 10 ma 373 mhz 12 ma 373 mhz sstl-18 class ii 8ma 140 mhz 16 ma 327 mhz 18 ma 373 mhz 20 ma 420 mhz 1.8-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10 ma 561 mhz 12 ma 607 mhz 1.8-v hstl class ii 16 ma 420 mhz 18 ma 467 mhz 20 ma 514 mhz 1.5-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10ma 607 mhz 12 ma 654 mhz 1.5-v hstl class ii 16 ma 514 mhz 18 ma 561 mhz 20 ma 561 mhz 24 ma 278 mhz differential sstl-2 8ma 280 mhz 12 ma 327 mhz table 4?107. arria gx maximum output cl ock rate for dedicated clock pins (part 2 of 4) i/o standards drive strength -6 speed grade units
4?122 altera corporation arria gx device handbook, volume 1 may 2008 maximum input and output clock toggle rate differential 2.5-v sstl class ii 16 ma 280 mhz 20 ma 327 mhz 24 ma 327 mhz differential 1.8-v sstl class i 4ma 140 mhz 6ma 186 mhz 8ma 280 mhz 10 ma 373 mhz 12 ma 373 mhz differential 1.8-v sstl class ii 8ma 140 mhz 16 ma 327 mhz 18 ma 373 mhz 20 ma 420 mhz differential 1.8-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10 ma 561 mhz 12 ma 607 mhz differential 1.8-v hstl class ii 16 ma 420 mhz 18 ma 467 mhz 20 ma 514 mhz differential 1.5-v hstl class i 4ma 280 mhz 6ma 420 mhz 8ma 561 mhz 10 ma 607 mhz 12 ma 654 mhz differential 1.5-v hstl class ii 16 ma 514 mhz 18 ma 561 mhz 20 ma 561 mhz 24 ma 278 mhz 3.3-v pci - 626 mhz 3.3-v pci-x - 626 mhz lv d s - 2 8 0 m h z hypertransport - 116 mhz table 4?107. arria gx maximum output cl ock rate for dedicated clock pins (part 3 of 4) i/o standards drive strength -6 speed grade units
altera corporation 4?123 may 2008 arria gx device handbook, volume 1 dc and switching characteristics lvpecl - 280 mhz 3.3-v lvttl series_25_ohms 327 mhz series_50_ohms 327 mhz 3.3-v lvcmos series_25_ohms 280 mhz series_50_ohms 280 mhz 2.5 v series_25_ohms 280 mhz series_50_ohms 280 mhz 1.8 v series_25_ohms 420 mhz series_50_ohms 420 mhz 1.5 v series_50_ohms 373 mhz sstl-2 class i series_50_ohms 467 mhz sstl-2 class ii series_25_ohms 467 mhz sstl-18 class i series_50_ohms 327 mhz sstl-18 class ii series_25_ohms 420 mhz 1.8-v hstl class i series_50_ohms 561 mhz 1.8-v hstl class ii series_25_ohms 420 mhz 1.5-v hstl class i series_50_ohms 467 mhz 1.2-v hstl series_50_ohms 233 mhz differential sstl-2 series_50_ohms 467 mhz differential 2.5-v sstl class ii series_25_ohms 467 mhz differential 1.8-v sstl class i series_50_ohms 327 mhz differential 1.8-v sstl class ii series_25_ohms 420 mhz differential 1.8-v hstl class i series_50_ohms 561 mhz differential 1.8-v hstl class ii series_25_ohms 420 mhz differential 1.5-v hstl class i series_50_ohms 467 mhz differential 1.2-v hstl series_50_ohms 233 mhz table 4?107. arria gx maximum output cl ock rate for dedicated clock pins (part 4 of 4) i/o standards drive strength -6 speed grade units
4?124 altera corporation arria gx device handbook, volume 1 may 2008 duty cycle distortion duty cycle distortion duty cycle distortion (dcd) describe s how much the falling edge of a clock is off from its idea l position. the ideal position is when both the clock high time (clkh) and the clock low time (clkl) equal half of the clock period (t), as shown in figure 4?10 . dcd is the deviation of the non-ideal falling edge from the ideal falling edge, such as d1 for the falling edge a and d2 for the falling edge b (see figure 4?10 ). the maximum dcd for a clock is the larger value of d1 and d2. figure 4?10. duty cycle distortion dcd expressed in absolution deriva tion, for example, d1 or d2 in figure 4?10 , is clock-period independent. dcd can also be expressed as a percentage, and the percentage number is clock-period dependent. dcd as a percentage is defined as: (t/2 ? d1) / t (the low percentage boundary) (t/2 + d2) / t (the high percentage boundary) dcd measurement techniques dcd is measured at an fpga output pin driven by registers inside the corresponding i/o element (ioe) block. when the ou tput is a single data rate signal (non-ddio), on ly one edge of the regist er input clock (positive or negative) triggers output transitions ( figure 4?11 ). therefore, any dcd present on the input clock signal or caused by the clock input buffer or different input i/o standard does not transfer to the output signal. clkh = t/2 clkl = t/2 d1 d2 falling edge a ideal falling edge clock period (t) falling edge b
altera corporation 4?125 may 2008 arria gx device handbook, volume 1 dc and switching characteristics figure 4?11. dcd measurement technique for non-ddio (single-data rate) outputs however, when the output is a doub le data rate input/output (ddio) signal, both edges of the input clock signal (posit ive and negative) trigger output transitions ( figure 4?12 ). therefore, any dist ortion on the input clock and the input clock buff er affect the output dcd. figure 4?12. dcd measurement technique for ddio (double-data rate) outputs when an fpga pll generates the inte rnal clock, the pll output clocks the ioe block. as the pll only monitors the positive edge of the reference clock input and internally re-creates the output cloc k signal, any dcd present on the reference clock is filt ered out. therefore, the dcd for a ddio output with pll in the clock path is better than the dcd for a ddio output without pll in the clock path.
4?126 altera corporation arria gx device handbook, volume 1 may 2008 duty cycle distortion tables 4?108 through 4?113 show the maximum dcd in absolution derivation for different i/o standard s on arria gx devices. examples are also provided that show how to calculate dcd as a percentage. here is an example for calculatin g the dcd as a percentage for a non-ddio output on a row i/o: if the non-ddio output i/o standard is sstl-2 class ii, the maximum dcd is 125 ps (see table 4?109 ). if the clock frequency is 267 mhz, the clock period t is: t = 1/ f = 1 / 267 mhz = 3.745 ns = 3,745 ps to calculate the dcd as a percentage: (t/2 ? dcd) / t = (3,745 ps/2 ? 125 ps) / 3,745 ps = 46.66% (for low boundary) (t/2 + dcd) / t = (3,745 ps/2 + 125 ps) / 3,745 ps = 53.33% (for high boundary) therefore, the dcd percentage for the output clock at 267 mhz is from 46.66% to 53.33%. table 4?108. maximum dcd for non- ddio output on row i/o pins row i/o output standard maximum dcd (ps) for non-ddio output -6 speed grade unit 3.3-v lvtttl 275 ps 3.3-v lvcmos 155 ps 2.5 v 135 ps 1.8 v 180 ps 1.5-v lvcmos 195 ps sstl-2 class i 145 ps sstl-2 class ii 125 ps sstl-18 class i 85 ps 1.8-v hstl class i 100 ps 1.5-v hstl class i 115 ps lvds 80 ps
altera corporation 4?127 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?109. maximum dcd for non-ddio output on column i/o pins column i/o output standard i/o standard maximum dcd (ps) for non-ddio output unit -6 speed grade 3.3-v lvttl 220 ps 3.3-v lvcmos 175 ps 2.5 v 155 ps 1.8 v 110 ps 1.5-v lvcmos 215 ps sstl-2 class i 135 ps sstl-2 class ii 130 ps sstl-18 class i 115 ps sstl-18 class ii 100 ps 1.8-v hstl class i 110 ps 1.8-v hstl class ii 110 ps 1.5-v hstl class i 115 ps 1.5-v hstl class ii 80 ps 1.2-v hstl-12 200 ps lvpecl 80 ps table 4?110. maximum dcd for ddio output on row i/o pi ns without pll in the clock path (part 1 of 2) note (1) maximum dcd (ps) for row ddio output i/o standard input i/o standard (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl lvds 3.3/2.5v 1.8/1.5v 2.5v 1.8/1.5v 3.3v 3.3-v lvttl 440 495 170 160 105 ps 3.3-v lvcmos 390 450 120 110 75 ps 2.5 v 375 430 105 95 90 ps 1.8 v 325 385 90 100 135 ps 1.5-v lvcmos 430 490 160 155 100 ps sstl-2 class i 355 410 85 75 85 ps
4?128 altera corporation arria gx device handbook, volume 1 may 2008 duty cycle distortion sstl-2 class ii 350 405 80 70 90 ps sstl-18 class i 335 390 65 65 105 ps 1.8-v hstl class i 330 385 60 70 110 ps 1.5-v hstl class i 330 390 60 70 105 ps lvds 180 180 180 180 180 ps note to table 4?110 : (1) table 4?110 assumes the input clock has zero dcd. table 4?111. maximum dcd for ddio output on column i/o pins without pll in the clock path note (1) maximum dcd (ps) for ddio column output i/o standard input io standard (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl 3.3/2.5v 1.8/1.5v 2.5v 1.8/1.5v 3.3-v lvttl 440 495 170 160 ps 3.3-v lvcmos 390 450 120 110 ps 2.5 v 375 430 105 95 ps 1.8 v 325 385 90 100 ps 1.5-v lvcmos 430 490 160 155 ps sstl-2 class i 355 410 85 75 ps sstl-2 class ii 350 405 80 70 ps sstl-18 class i 335 390 65 65 ps sstl-18 class ii 320 375 70 80 ps 1.8-v hstl class i 330 385 60 70 ps 1.8-v hstl class ii 330 385 60 70 ps 1.5-v hstl class i 330 390 60 70 ps 1.5-v hstl class ii 330 360 90 100 ps lvpecl 180 180 180 180 ps note to ta b l e 4 ? 111 : (1) table 4?111 assumes the input clock has zero dcd. table 4?110. maximum dcd for ddio output on row i/o pi ns without pll in the clock path (part 2 of 2) note (1) maximum dcd (ps) for row ddio output i/o standard input i/o standard (no pll in the clock path) unit ttl/cmos sstl-2 sstl/hstl lvds 3.3/2.5v 1.8/1.5v 2.5v 1.8/1.5v 3.3v
altera corporation 4?129 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?112. maximum dcd for ddio output on row i/o pins with pll in the clock path maximum dcd (ps) for row ddio output i/o standard arria gx devices (pll output feeding ddio) unit -6 speed grade 3.3-v lvttl 105 ps 3.3-v lvcmos 75 ps 2.5v 90 ps 1.8v 100 ps 1.5-v lvcmos 100 ps sstl-2 class i 75 ps sstl-2 class ii 70 ps sstl-18 class i 65 ps 1.8-v hstl class i 70 ps 1.5-v hstl class i 70 ps lvds 180 ps table 4?113. maximum dcd for ddio output on column i/o pins with pll in the clock path (part 1 of 2) maximum dcd (ps) for column ddio output i/o standard arria gx devices (pll output feeding ddio) unit -6 speed grade 3.3-v lvttl 160 ps 3.3-v lvcmos 110 ps 2.5v 95 ps 1.8v 100 ps 1.5-v lvcmos 155 ps sstl-2 class i 75 ps sstl-2 class ii 70 ps sstl-18 class i 65 ps sstl-18 class ii 80 ps 1.8-v hstl class i 70 ps 1.8-v hstl class ii 70 ps 1.5-v hstl class i 70 ps 1.5-v hstl class ii 100 ps
4?130 altera corporation arria gx device handbook, volume 1 may 2008 high-speed i/o specifications high-speed i/o specifications table 4?114 provides high-speed timing specifications definitions. 1.2-v hstl 155 ps lvpecl 180 ps table 4?113. maximum dcd for ddio output on column i/o pins with pll in the clock path (part 2 of 2) maximum dcd (ps) for column ddio output i/o standard arria gx devices (pll output feeding ddio) unit -6 speed grade table 4?114. high-speed timing spec ifications and definitions high-speed timing spec ifications definitions t c high-speed receiver/transmitter input and output clock period. f hsclk high-speed receiver/transmitter input and output clock frequency. j deserialization factor (width of parallel data bus). w pll multiplication factor. t rise low-to-high transmission time. t fall high-to-low transmission time. timing unit interval (tui) the timing budget allowed for skew, propagation delays, and data sampling window. (tui = 1/(receiver input clock frequency multiplication factor) = t c / w ). f hsdr maximum/minimum lvds data transfer rate (f hsdr = 1/tui), non-dpa. f hsdrdpa maximum/minimum lvds data transfer rate (f hsdrdpa = 1/tui), dpa. channel-to-channel skew (tccs) the timing difference between the fastest and slowest output edges, including t co variation and clock skew. the clock is included in the tccs measurement. sampling window (sw) the period of time during which the data must be valid in order to capture it correctly. the setup and hold ti mes determine the ideal strobe position within the sampling window. input jitter peak-to-peak inpu t jitter on high-speed plls. output jitter peak-to-peak out put jitter on high-speed plls. t duty duty cycle on high-speed transmitter output clock. t lock lock time for high-speed transmitter and receiver plls.
altera corporation 4?131 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?115 shows the high-speed i/o timing specifications. table 4?115. high-speed i/o specifications notes (1) , (2) symbol conditions -6 speed grade unit min typ max f hsclk (clock frequency) f hsclk = f hsdr / w w = 2 to 32 (lvds, hypertransport technology) (3) 16 420 mhz w = 1 (serdes bypass, lvds only) 16 500 mhz w = 1 (serdes used, lvds only) 150 640 mhz f hsdr (data rate) j = 4 to 10 (lvds, hypertransport technology) 150 840 mbps j = 2 (lvds, hypertransport technology) (4) 700 mbps j = 1 (lvds only) (4) 500 mbps f hsdrdpa (dpa data rate) j = 4 to 10 (lvds, hypertransport technology) 150 840 mbps tccs all differential i/o standards - 200 ps sw all differential i/o standards 440 - ps output jitter 190 ps output t rise all differential i/o standards 290 ps output t fall all differential i/o standards 290 ps t duty 45 50 55 % dpa run length 6,400 ui dpa jitter tolerance data channel peak-to-peak jitter 0.44 ui dpa lock time standard training pattern transition density number of repetitions spi-4 0000000000 1111111111 10% 256 parallel rapid i/o 00001111 25% 256 10010000 50% 256 miscellaneous 10101010 100% 256 01010101 256 notes to table 4?115 : (1) when j = 4 to 10, the serdes block is used. (2) when j = 1 or 2, the serdes block is bypassed. (3) the input clock frequency and the w factor must satisfy the following fast pll vco specification: 150 input clock frequency w 1,040. (4) the minimum specification is dependen t on the clock source (fast pll, enhanced pll, clock pin, and so on) and the clock routing resource (global, regional, or local) utili zed. the i/o differential buff er and input register do not have a minimum toggle rate.
4?132 altera corporation arria gx device handbook, volume 1 may 2008 pll timing specifications pll timing specifications tables 4?116 and 4?117 describe the arria gx pll specifications when operating in both the commercial junction temperature range (0 to 85 c) and the industrial junction temper ature range (?40 to 100 c), except for the clock switchover and phase-sh ift stepping features. these two features are only supported from the 0 to 100 c junction temperature range. table 4?116. enhanced pll speci fications (part 1 of 2) name description min typ max unit f in input clock frequency 2 500 mhz f inpfd input frequency to the pfd 2 420 mhz f induty input clock duty cycle 40 60 % f enduty external feedback input clock duty cycle 40 60 % t injitter input or external feedback clock input jitter tolerance in terms of period jitter. bandwidth 0.85 mhz 0.5 ns (peak- to-peak) input or external feedback clock input jitter tolerance in terms of period jitter. bandwidth > 0.85 mhz 1.0 ns (peak- to-peak) t outjitter dedicated clock output period jitter (3) ps or mui (p-p) t fcomp external feedback compensation time 10 ns f out output frequency for internal global or regional clock 1.5 (2) 550 mhz f scanclk scanclk frequency 100 mhz t configepll time required to reconfigure scan chains for eplls 174/f scanclk ns f out_ext pll external clock output frequency 1.5 (2) (1) mhz f outduty duty cycle for external clock output 45 50 55 % t lock time required for the pll to lock from the time it is enabled or the end of device configuration 0.03 1 ms t dlock time required for the pll to lock dynamically after automatic clock switchover between two identical clock frequencies 1ms f switchover frequency range where the clock switchover performs properly 1.5 1 500 mhz f clbw pll closed-loop bandwidth 0.13 1.2 16.9 mhz f vco pll vco operating range 300 840 mhz f ss spread-spectrum modul ation frequency 100 500 khz
altera corporation 4?133 may 2008 arria gx device handbook, volume 1 dc and switching characteristics % spread percent down spread for a given clock frequency 0.4 0.5 0.6 % t pll_pserr accuracy of pll phase shift 30 ps t areset minimum pulse width on areset signal. 10 ns t areset_reconfig minimum pulse width on the areset signal when using pll reconfiguration. reset the pll after scandone goes high. 500 ns t reconfigwait the time required for the wait after the reconfiguration is done and the areset is applied. 2us notes to table 4?116 : (1) this is limited by the i/o f max . (2) if the counter cascading feature of the pll is ut ilized, there is no minimum output clock frequency. (3) 250 ps for 100 mhz outclk . 25 mui for <100 mhz outclk . table 4?117. fast pll specifications (part 1 of 2) name description min typ max unit f in input clock frequency 16.08 640 mhz f inpfd input frequency to the pfd 16.08 500 mhz f induty input clock duty cycle 40 60 % t injitter input clock jitter tolerance in terms of period jitter. bandwidth 2mhz 0.5 ns (p-p) input clock jitter tolerance in terms of period jitter. bandwidth > 0.2 mhz 1.0 ns (p-p) f vco upper vco frequency range 300 840 mhz lower vco frequency range 150 420 mhz f out pll output frequency to gclk or rclk 4.6875 550 mhz pll output frequency to lvds or dpa clock 150 840 mhz f out_ext pll clock output frequency to regular i/o 4.6875 (1) mhz t configpll time required to reconf igure scan chains for fast plls 75/f scanclk ns f clbw pll closed-loop bandwidth 1.16 5 28 mhz t lock time required for the pll to lock from the time it is enabled or the end of the device configuration 0.03 1 ms t pll_pserr accuracy of pll phase shift 30 ps table 4?116. enhanced pll speci fications (part 2 of 2) name description min typ max unit
4?134 altera corporation arria gx device handbook, volume 1 may 2008 external memory interface specifications external memory interface specifications tables 4?118 through 4?122 contain arria gx device specifications for the dedicated circuitry used for interfac ing with external memory devices. t areset minimum pulse width on areset signal. 10 ns t areset_reconfig minimum pulse width on the areset signal when using pll reconfiguration. reset the pll after scandone goes high. 500 ns note to table 4?117 : (1) this is limited by the i/o f max . table 4?117. fast pll specifications (part 2 of 2) name description min typ max unit table 4?118. dll frequency range specifications frequency mode frequency range (mhz) 0 100 to 175 1 150 to 230 2 200 to 310 table 4?119. dqs jitter specificati ons for dll-delayed clock (t dqs_jitter ), note (1) number of dqs delay buffer stages (2) commercial (ps) industrial (ps) 1 80 110 2 110 130 3 130 180 4 160 210 notes to ta b l e 4 ? 11 9 : (1) peak-to-peak period jitter on the phase-shifted dqs cl ock. for example, jitter on two delay stages under commercial condit ions is 200 ps peak-to-peak or 100 ps. (2) delay stages used for requested dqs phase shift are reported in a project?s compilation report in the quartus ii software.
altera corporation 4?135 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?120. dqs phase-shift error spec ifications for dll-delayed clock (t dqs_pserr ) number of dqs delay buffer stages ?6 speed grade (ps) 135 270 3105 4140 table 4?121. dqs bus clock sk ew adder specifications (t dqs_clock_skew_adder ) mode dqs clock skew adder (ps) 4 dq per dqs 40 9 dq per dqs 70 18 dq per dqs 75 36 dq per dqs 95 table 4?122. dqs phase offset delay per stage (ps) notes (1) , (2) , (3) speed grade positive offset negative offset min max min max -6 10 16 8 12 notes to table 4?122 : (1) the delay settings are linear. (2) the valid settings for phase offset are -32 to +31. (3) the typical value equals the average of the minimum and maximum values.
4?136 altera corporation arria gx device handbook, volume 1 may 2008 jtag timing specifications jtag timing specifications figure 4?13 shows the timing requirem ents for the jtag signals figure 4?13. arria gx jtag waveforms. tdo tck t jpzx t jpco t jph t jpxz t jcp t jpsu t jcl t jch tdi tms signal to be captured signal to be driven t jszx t jssu t jsh t jsco t jsxz
altera corporation 4?137 may 2008 arria gx device handbook, volume 1 dc and switching characteristics table 4?123 shows the jtag timing parameters and values for arria gx devices. referenced documents this chapter references the following documents: arria gx architecture chapter in volume 1 of the arria gx device handbook arria gx device family data sheet in volume 1 of the arria gx device handbook powerplay early power estimato r and powerplay power analyzer powerplay power analysis chapter in volume 3 of the quartus ii handbook table 4?123. arria gx jtag timing parameters and values symbol parameter min max unit t jcp tck clock period 30 ns t jch tck clock high time 12 ns t jcl tck clock low time 12 ns t jpsu jtag port setup time 4 ns t jph jtag port hold time 5 ns t jpco jtag port clock to output 9 ns t jpzx jtag port high impedance to valid output 9 ns t jpxz jtag port valid output to high impedance 9 ns t jssu capture register setup time 4 ns t jsh capture register hold time 5 ns t jsco update register clock to output 12 ns t jszx update register high impedance to valid output 12 ns t jsxz update register valid output to high impedance 12 ns
4?138 altera corporation arria gx device handbook, volume 1 may 2008 document revision history document revision history table 4?124 shows the revision his tory for this chapter. table 4?124. document revision history date and document version changes made summary of changes may 2008 v1.3 updated: table 4?5 table 4?7 table 4?8 table 4?9 table 4?10 table 4?11 table 4?12 table 4?13 table 4?14 table 4?15 table 4?16 table 4?17 table 4?43 table 4?116 table 4?117 ? updated: figure 4?4 ? minor text edits. ? august 2007 v1.2 removed ?preliminary? from each page. ? removed ?preliminary? note from tables 4?44, 4?45, and 4?47. ? added ?referenced documents? section. ? june 2007 v1.1 updated table 4?99. ? added gige information. ? may 2007 v1.0 initial release. ?
altera corporation 5?1 august 2007 5. reference and ordering information software arria tm gx devices are supported by the altera ? quartus ? ii design software, which provides a co mprehensive environment for system-on-a-programmable-chip (sopc) design. the quartus ii software includes hdl and schematic design entry, compilation and logic synthesis, full simulation and adva nced timing anal ysis, signaltap ? ii logic analyzer, and device configuration. f refer to the quartus ii development software handbook for more information on the quartus ii software features. the quartus ii software supports the windows xp/2000/nt, sun solaris 8/9, linux red hat v7.3, linux red hat enterprise 3, and hp-ux operating systems. it also supports seamless integration with industry-leading eda tools through the nativelink interface. device pin-outs f arria gx device pin-outs are avai lable on the altera web site at www.altera.com . agx51005-1.1
5?2 altera corporation arria gx device handbook, volume 1 august 2007 reference and ordering information ordering information figure 5?1 describes the ordering codes for arria gx devices. f for more information on a specific package, refer to the package information for arria gx devices chapter in volume 2 of the arria gx device handbook . figure 5?1. arria gx device pa ckaging ordering information referenced documents this chapter references the following documents: package information for arria gx devices chapter in volume 2 of the arria gx device handbook quartus ii development software handbook device type number of transceiver channels package type 6 484 780 1152 f: fineline bga (fbga) ep1agx : arria gx 20 35 50 60 90 c: commercial temperature (t j = 0 ? c to 85 ? c) industrial temperature (t j = -40 ? c to 100 ? c) optional suffix family signature operating temperature speed grade pin count 6 ep1agx 20 c c 4 8 4 fn indicates specific device options or shipment method. n: lead-free devices i: c: 4 d: 8 e: 12
altera corporation 5?3 august 2007 arria gx device handbook, volume 1 document revision history document revision history table 5?1 shows the revision history for this chapter. table 5?1. document revision history date and document version changes made summary of changes august 2007, v1.1 added the ?referenced documents? section. ? may 2007, v1.0 initial release. ?
5?4 altera corporation arria gx device handbook, volume 1 august 2007 reference and ordering information


▲Up To Search▲   

 
Price & Availability of EP1AGX35CF1152I6N

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X